System Clock Oscillator; X1 Oscillator - Renesas RL78/G1P Hardware User Manual

16-bit single-chip microcontroller
Hide thumbs Also See for RL78/G1P:
Table of Contents

Advertisement

RL78/G1P

5.4 System Clock Oscillator

5.4.1 X1 oscillator

The X1 oscillator oscillates with a crystal resonator or ceramic resonator (1 to 20 MHz) connected to the X1 and X2
pins.
An external clock can also be input. In this case, input the clock signal to the EXCLK pin.
To use the X1 oscillator, set bits 7 and 6 (EXCLK, OSCSEL) of the clock operation mode control register (CMC) as
follows.
 Crystal or ceramic oscillation: EXCLK, OSCSEL = 0, 1
 External clock input:
When the X1 oscillator is not used, set the input port mode (EXCLK, OSCSEL = 0, 0).
When the pins are not used as input port pins, either, see Table 2-3 Connection of Unused Pins.
Figure 5-11 shows an example of the external circuit of the X1 oscillator.
(a) Crystal or ceramic oscillation
Crystal resonator
or
ceramic resonator
Caution When using the X1 oscillator, wire as follows in the area enclosed by the broken lines in the Figure 5-11
to avoid an adverse effect from wiring capacitance.
 Keep the wiring length as short as possible.
 Do not cross the wiring with the other signal lines. Do not route the wiring near a signal line
through which a high fluctuating current flows.
 Always make the ground point of the oscillator capacitor the same potential as Vss. Do not
ground the capacitor to a ground pattern through which a high current flows.
 Do not fetch signals from the oscillator.
R01UH0895EJ0100 Rev.1.00
Nov 29, 2019
EXCLK, OSCSEL = 1, 1
Figure 5-11. Example of External Circuit of X1 Oscillator
V
SS
X1
X2
CHAPTER 5 CLOCK GENERATOR
(b) External clock
External clock
EXCLK
111

Advertisement

Table of Contents
loading

Table of Contents