Xilinx Virtex-6 Manual page 80

Hide thumbs Also See for Virtex-6:
Table of Contents

Advertisement

Chapter 4: About Design Elements
Verilog Instantiation Template
// BUFGCE: Global Clock Buffer with Clock Enable
//
Virtex-6
// Xilinx HDL Libraries Guide, version 14.5
BUFGCE BUFGCE_inst (
.O(O),
// 1-bit output: Clock buffer output
.CE(CE), // 1-bit input: Clock enable input for I0 input
.I(I)
// 1-bit input: Primary clock input
);
// End of BUFGCE_inst instantiation
For More Information
See the
Virtex-6 FPGA User Documentation (User Guides and Data
80
Sheets).
Virtex-6 Libraries Guide for HDL Designs
www.xilinx.com
UG623 (v 14.5) March 20, 2013

Advertisement

Table of Contents
loading

Table of Contents