Xilinx Virtex-6 Manual page 276

Hide thumbs Also See for Virtex-6:
Table of Contents

Advertisement

Chapter 4: About Design Elements
VHDL Instantiation Template
Unless they already exist, copy the following two statements and paste them before the entity declaration.
Library UNISIM;
use UNISIM.vcomponents.all;
-- OBUFDS: Differential Output Buffer
--
Virtex-6
-- Xilinx HDL Libraries Guide, version 14.5
OBUFDS_inst : OBUFDS
generic map (
IOSTANDARD => "DEFAULT")
port map (
O => O,
-- Diff_p output (connect directly to top-level port)
OB => OB,
-- Diff_n output (connect directly to top-level port)
I => I
-- Buffer input
);
-- End of OBUFDS_inst instantiation
Verilog Instantiation Template
// OBUFDS: Differential Output Buffer
//
Virtex-6
// Xilinx HDL Libraries Guide, version 14.5
OBUFDS #(
.IOSTANDARD("DEFAULT") // Specify the output I/O standard
) OBUFDS_inst (
.O(O),
// Diff_p output (connect directly to top-level port)
.OB(OB),
// Diff_n output (connect directly to top-level port)
.I(I)
// Buffer input
);
// End of OBUFDS_inst instantiation
For More Information
See the
Virtex-6 FPGA User Documentation (User Guides and Data
276
Sheets).
Virtex-6 Libraries Guide for HDL Designs
www.xilinx.com
UG623 (v 14.5) March 20, 2013

Advertisement

Table of Contents
loading

Table of Contents