Xilinx Virtex-6 Manual page 270

Hide thumbs Also See for Virtex-6:
Table of Contents

Advertisement

Chapter 4: About Design Elements
VHDL Instantiation Template
Unless they already exist, copy the following two statements and paste them before the entity declaration.
Library UNISIM;
use UNISIM.vcomponents.all;
-- MUXF8_D: CLB MUX to tie two MUXF7's together with general and local outputs
--
Virtex-6
-- Xilinx HDL Libraries Guide, version 14.5
MUXF8_D_inst : MUXF8_D
port map (
LO => LO,
-- Output of MUX to local routing
O => O,
-- Output of MUX to general routing
I0 => I0,
-- Input (tie to MUXF7 LO out)
I1 => I1,
-- Input (tie to MUXF7 LO out)
S => S
-- Input select to MUX
);
-- End of MUXF8_D_inst instantiation
Verilog Instantiation Template
// MUXF8_D: CLB MUX to tie two MUXF7's together with general and local outputs
//
Virtex-6
// Xilinx HDL Libraries Guide, version 14.5
MUXF8_D MUXF8_D_inst (
.LO(LO),
// Output of MUX to local routing
.O(O),
// Output of MUX to general routing
.I0(I0),
// Input (tie to MUXF7 LO out)
.I1(I1),
// Input (tie to MUXF7 LO out)
.S(S)
// Input select to MUX
);
// End of MUXF8_D_inst instantiation
For More Information
See the
Virtex-6 FPGA User Documentation (User Guides and Data
270
Sheets).
Virtex-6 Libraries Guide for HDL Designs
www.xilinx.com
UG623 (v 14.5) March 20, 2013

Advertisement

Table of Contents
loading

Table of Contents