Intel Arria 10 User Manual page 334

Transceiver phy
Hide thumbs Also See for Arria 10:
Table of Contents

Advertisement

Note:
Because the ModelSim - Intel FPGA Edition software provides precompiled simulation
libraries, you do not have to compile simulation libraries if you are using the software.
Complete the following steps to compile the simulation model libraries using the
Simulation Library Compiler:
1. On the Tools menu, click Launch Simulation Library Compiler.
2. Under EDA simulation tool, for the Tool name, select your simulation tool.
3. Under Executable location, browse to the location of the simulation tool you
specified. You must specify this location before you can run the EDA Simulation
Library Compiler.
4. Under Library families, select one or more family names and move them to the
Selected families list.
5. Under Library language, select Verilog, VHDL, or both.
6. In the Output directory field, specify a location to store the compiled libraries.
7. Click Start Compilation.
Complete the following steps to add the simulation files to your project:
1. On the Assignments menu, click Settings.
2. In the Category list, select Files.
3. Click Browse to open the Select File dialog box and select one or more files in
the Files list to add to your project.
4. Click Open, and then Add to add the selected file(s) to your project.
5. Click OK to close the Settings dialog box.
Related Information
Preparing for EDA Simulation
Intel FPGA Simulation Models
2.10.3.2. Custom Simulation Scripts
You can automate simulations by creating customized scripts. You can generate scripts
manually. In addition, you can use NativeLink to generate a simulation script as a
template and then make the necessary changes. The following table shows a list of
script directories NativeLink generates.
Table 227.
Custom Simulation Scripts for Third Party RTL Simulation
Simulator
Mentor Graphics
/simulation/ modelsim/
ModelSim or
modelsim_setup.do
QuestaSim
Or
mentor/msim_setup.tcl
Aldec Riviera Pro
/simulation/ aldec/
rivierapro_setup.tcl
®
®
Intel
Arria
10 Transceiver PHY User Guide
334
Simulation File
Source directly with your simulator. Run
followed by
a dedicated
all the files included in the
common
Source directly with your simulator
.
2. Implementing Protocols in Arria 10 Transceivers
Use
. If you have more than one IP, each IP has
ld_debug
file. Make sure that you combine
msim_setup.tcl
msim_setup.tcl
file.
msim_setup.tcl
UG-01143 | 2018.06.15
,
do msim_setup.tcl
files into one
continued...

Hide quick links:

Advertisement

Table of Contents
loading

Table of Contents