Xilinx 7 Series User Manual page 168

Fpgas gtp transceivers
Hide thumbs Also See for 7 Series:
Table of Contents

Advertisement

Chapter 4:
Receiver
Table 4-26: RX Byte and Word Alignment Attributes (Cont'd)
Attribute
ALIGN_COMMA_DOUBLE
ALIGN_MCOMMA_VALUE
ALIGN_MCOMMA_DET
ALIGN_PCOMMA_VALUE
ALIGN_PCOMMA_DET
SHOW_REALIGN_COMMA
168
Send Feedback
Type
String
Specifies whether a comma match consists of either a comma plus or a comma
minus alone, or if both are required in the sequence.
FALSE: The plus comma (PCOMMA) and minus comma (MCOMMA) are
handled separately. An individual match for either can lead to comma
detection and alignment.
TRUE: A comma match consists of a comma plus followed immediately by
a comma minus. The match pattern is 20 or 16 bits (as determined by
RX_DATA_WIDTH).
When ALIGN_COMMA_DOUBLE is TRUE, ALIGN_PCOMMA_DET
must be the same as ALIGN_MCOMMA_DET, and
RXPCOMMAALIGNEN must be the same as RXMCOMMAALIGNEN.
10-bit Binary Defines comma minus to raise RXCOMMADET and align the parallel data.
The reception order is right to left. (ALIGN_MCOMMA_VALUE [0] is
received first.) The default value is 10'b1010000011 (K28.5). This
definition does not affect 8B/10B encoding or decoding.
String
Controls the raising of RXCOMMADET on comma minus.
FALSE: Do not raise RXCOMMADET when comma minus is detected.
TRUE: Raise RXCOMMADET when comma minus is detected. (This
setting does not affect comma alignment.)
10-bit Binary Defines comma plus to raise RXCOMMADET and align parallel data. The
reception order is right to left. (ALIGN_PCOMMA_VALUE [0] is received
first.) The default value is 10'b0101111100 (K28.5). This definition does
not affect 8B/10B encoding or decoding.
String
Controls the raising of RXCOMMADET on comma plus.
FALSE: Do not raise RXCOMMADET when comma plus is detected.
TRUE: Raise RXCOMMADET when comma plus is detected. (This setting
does not affect comma alignment.)
String
Defines if a comma that caused realignment is brought out to the FPGA RX.
FALSE: Do not bring the comma that causes realignment to the FPGA RX.
This setting reduces RX datapath latency
TRUE: Bring the realignment comma to the FPGA RX.
SHOW_REALIGN_COMMA = TRUE should not be used when
ALIGN_COMMA_DOUBLE = TRUE or when manual alignment is used.
www.xilinx.com
Description
7 Series FPGAs GTP Transceivers User Guide
UG482 (v1.9) December 19, 2016

Hide quick links:

Advertisement

Table of Contents
loading

Table of Contents