Rx Clock Data Recovery (Cdr); Overview; Ports And Attributes - Xilinx Virtex-5 RocketIO GTP User Manual

Table of Contents

Advertisement

Chapter 7: GTP Receiver (RX)

RX Clock Data Recovery (CDR)

Overview

The RX Clock Data Recovery (CDR) circuit in each GTP transceiver extracts a recovered
clock from incoming data. As long as the line rate of the recovered clock matches the line
rate of the receiver within 350 ppm and there are sufficient transitions in the data, the CDR
can extract a clock. The CDR has advanced features, including a scanning feature that can
be used to evaluate the quality of the received signal.

Ports and Attributes

Table 7-9
Table 7-9: RX CDR Signaling Ports
Port
RESETDONE0
RESETDONE1
RXCDRRESET0
RXCDRRESET1
RXELECIDLERESET
RXENELECIDLERESETB
136
defines RX CDR signaling ports.
Dir
Clock Domain
Out
Async
In
RXUSRCLK2
In
Async
In
Async
www.xilinx.com
Description
This port goes High when the GTP transceiver has finished
reset and is ready for use. For this signal to work correctly,
CLKIN and all clock inputs on the individual GTP transceiver
(TXUSRCLK, TXUSRCLK2, RXUSRCLK, RXUSRCLK2) must
be driven.
Individual reset signal for the RX CDR and the RX part of the
PCS for this channel. This signal is driven High to cause the
CDR to give up its current lock and return to the shared PLL
frequency.
This port is required to hold the CDR in reset while the
receiver is in electrical idle. This functionality is required
when using OOB signaling and also during start-up when
transients might temporarily put the CDR in the electrical idle
state. The
"RX Clock Data Recovery (CDR)"
how this port must be connected for all GTP designs.
0: CDR operates normally.
1: CDR held in reset. RXELECIDLERESET must be High
while RXELECIDLE is High during normal operation.
This port is required to enable the CDR reset function while
the receiver is in electrical idle. This functionality is required
when using OOB signaling and also during start-up when
transients might temporarily put the CDR in the electrical idle
state. The
"RX Clock Data Recovery (CDR)"
how this port must be connected for all GTP designs.
0: CDR reset function enabled
1: CDR reset function disabled
Virtex-5 RocketIO GTP Transceiver User Guide
R
section shows
section shows
UG196 (v1.3) May 25, 2007

Advertisement

Table of Contents
loading

Table of Contents