General Clock Generator Registers - NEC V850E/Dx3 Preliminary User's Manual

32-bit single-chip microcontroller
Table of Contents

Advertisement

Chapter 4
Bit position
7
6
5
3
a)
Before enabling PLLEN or SCEN, make sure that the main oscillator is running and has settled (see also CG-
STAT register). The CPU must operate on the sub, ring or main oscillator clock when setting PLLEN or SCEN
to 1. Before selecting the SSCG / PLL outputs as clock sources for peripherals, ensure by software that the
SSCG / PLL stabilization time has elapsed.The stabilization times are defined in the Electrical Target Specifi-
cation.
138
Downloaded from
Elcodis.com
electronic components distributor

4.2.1 General clock generator registers

The general Clock Generator registers control and reflect the operation of the
Clock Generator.
(1)
CKC - Clock Generator control register
The 8-bit CKC register controls the clock management.
Access
This register can be read/written in 8-bit units.
Writing to this register is protected by a special sequence of instructions.
Please refer to "PHCMD - Command protection register" on page 140 for
details.
Address
FFFF F822
.
H
Initial Value
00
. The register is initialized by any reset.
H
7
PLLEN
SCEN
R/W
R/W
a)
These bits may be written, but write is ignored.
Table 4-4
CKC register contents
Bit name
Function
a
PLLEN
PLL enable:
0: PLL disabled.
1: PLL on.
It is not possible to clear this bit by writing to the register. The bit is automatically
cleared in WATCH, Sub-WATCH, or STOP mode.
a
SCEN
SSCG enable:
0: SSCG disabled.
1: SSCG on.
It is not possible to clear this bit by writing to the register. The bit is automatically
cleared in WATCH, Sub-WATCH, or STOP mode.
DEN
SSCG dithering mode enable:
0: SSCG uses fixed multiplication factor determined by SCFC0, SCFC1
1: SSCG is in dithering mode. The base frequency, determined by the registers
SCFC0, SCFC1, is modulated according to the setup of register SCFMC.
DEN must not be toggled while SCEN is 1.
PERIC
Clock source selection for PCLK0/1:
0: Main oscillator is clock source for peripheral clocks PCLK0, PCLK1.
1: PLL (x4) is clock source for peripheral clocks PCLK0, PCLK1.
This bit is automatically cleared in WATCH, Sub-WATCH, or STOP mode.
Preliminary User's Manual U17566EE1V2UM00
6
5
4
3
DEN
0
PERIC
a
R/W
R
R/W
Clock Generator
2
1
0
0
0
0
a
a
a
R
R
R

Advertisement

Table of Contents
loading

Table of Contents