Xilinx VCU110 User Manual page 144

Hide thumbs Also See for VCU110:
Table of Contents

Advertisement

set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
# FMC HPC1
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
VCU110 Evaluation Board
UG1073 (v1.2) March 26, 2016
PACKAGE_PIN BF29
IOSTANDARD
LVDS
PACKAGE_PIN BC31
IOSTANDARD
LVDS
PACKAGE_PIN BD31
IOSTANDARD
LVDS
PACKAGE_PIN BF30
IOSTANDARD
LVDS
PACKAGE_PIN BF31
IOSTANDARD
LVDS
PACKAGE_PIN BA21
IOSTANDARD
LVCMOS18
PACKAGE_PIN AP21
IOSTANDARD
LVCMOS18
PACKAGE_PIN AJ11
PACKAGE_PIN AJ10
PACKAGE_PIN AH13
PACKAGE_PIN AH12
PACKAGE_PIN AG11
PACKAGE_PIN AG10
PACKAGE_PIN AF13
PACKAGE_PIN AF12
PACKAGE_PIN AU33
IOSTANDARD
LVDS
PACKAGE_PIN AU34
IOSTANDARD
LVDS
PACKAGE_PIN AV33
IOSTANDARD
LVDS
PACKAGE_PIN AV34
IOSTANDARD
LVDS
PACKAGE_PIN AR35
IOSTANDARD
LVDS
PACKAGE_PIN AT35
IOSTANDARD
LVDS
PACKAGE_PIN AR34
IOSTANDARD
LVDS
PACKAGE_PIN AT34
IOSTANDARD
LVDS
PACKAGE_PIN AV36
IOSTANDARD
LVDS
PACKAGE_PIN AW36
IOSTANDARD
LVDS
PACKAGE_PIN AP33
IOSTANDARD
LVDS
PACKAGE_PIN AR33
IOSTANDARD
LVDS
PACKAGE_PIN AU31
IOSTANDARD
LVDS
PACKAGE_PIN AU32
IOSTANDARD
LVDS
PACKAGE_PIN AR36
IOSTANDARD
LVDS
PACKAGE_PIN AT36
IOSTANDARD
LVDS
PACKAGE_PIN AV35
IOSTANDARD
LVDS
PACKAGE_PIN AW35
www.xilinx.com
Appendix D:
Master Constraints File Listing
[get_ports "FMC_HPC0_LA08_N"]
[get_ports "FMC_HPC0_LA08_N"]
[get_ports "FMC_HPC0_LA09_P"]
[get_ports "FMC_HPC0_LA09_P"]
[get_ports "FMC_HPC0_LA09_N"]
[get_ports "FMC_HPC0_LA09_N"]
[get_ports "FMC_HPC0_LA10_P"]
[get_ports "FMC_HPC0_LA10_P"]
[get_ports "FMC_HPC0_LA10_N"]
[get_ports "FMC_HPC0_LA10_N"]
[get_ports "FMC_HPC1_PG_M2C_LS"]
[get_ports "FMC_HPC1_PG_M2C_LS"]
[get_ports "FMC_HPC1_PRSNT_M2C_B_LS"]
[get_ports "FMC_HPC1_PRSNT_M2C_B_LS"]
[get_ports "FMC_HPC1_GBTCLK0_M2C_BUF1_C_P"]
[get_ports "FMC_HPC1_GBTCLK0_M2C_BUF1_C_N"]
[get_ports "FMC_HPC1_GBTCLK1_M2C_BUF1_C_P"]
[get_ports "FMC_HPC1_GBTCLK1_M2C_BUF1_C_N"]
[get_ports "FMC_HPC1_GBTCLK0_M2C_BUF2_C_P"]
[get_ports "FMC_HPC1_GBTCLK0_M2C_BUF2_C_N"]
[get_ports "FMC_HPC1_GBTCLK1_M2C_BUF2_C_P"]
[get_ports "FMC_HPC1_GBTCLK1_M2C_BUF2_C_N"]
[get_ports "FMC_HPC1_CLK0_M2C_P"]
[get_ports "FMC_HPC1_CLK0_M2C_P"]
[get_ports "FMC_HPC1_CLK0_M2C_N"]
[get_ports "FMC_HPC1_CLK0_M2C_N"]
[get_ports "FMC_HPC1_LA00_CC_P"]
[get_ports "FMC_HPC1_LA00_CC_P"]
[get_ports "FMC_HPC1_LA00_CC_N"]
[get_ports "FMC_HPC1_LA00_CC_N"]
[get_ports "FMC_HPC1_LA01_CC_P"]
[get_ports "FMC_HPC1_LA01_CC_P"]
[get_ports "FMC_HPC1_LA01_CC_N"]
[get_ports "FMC_HPC1_LA01_CC_N"]
[get_ports "FMC_HPC1_LA02_P"]
[get_ports "FMC_HPC1_LA02_P"]
[get_ports "FMC_HPC1_LA02_N"]
[get_ports "FMC_HPC1_LA02_N"]
[get_ports "FMC_HPC1_LA03_P"]
[get_ports "FMC_HPC1_LA03_P"]
[get_ports "FMC_HPC1_LA03_N"]
[get_ports "FMC_HPC1_LA03_N"]
[get_ports "FMC_HPC1_LA04_P"]
[get_ports "FMC_HPC1_LA04_P"]
[get_ports "FMC_HPC1_LA04_N"]
[get_ports "FMC_HPC1_LA04_N"]
[get_ports "FMC_HPC1_LA05_P"]
[get_ports "FMC_HPC1_LA05_P"]
[get_ports "FMC_HPC1_LA05_N"]
[get_ports "FMC_HPC1_LA05_N"]
[get_ports "FMC_HPC1_LA06_P"]
[get_ports "FMC_HPC1_LA06_P"]
[get_ports "FMC_HPC1_LA06_N"]
[get_ports "FMC_HPC1_LA06_N"]
[get_ports "FMC_HPC1_LA07_P"]
[get_ports "FMC_HPC1_LA07_P"]
[get_ports "FMC_HPC1_LA07_N"]
144
Send Feedback

Advertisement

Table of Contents
loading

Table of Contents