Xilinx VCU110 User Manual page 143

Hide thumbs Also See for VCU110:
Table of Contents

Advertisement

# QSPI
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
# FMC HPC0
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
set_property
VCU110 Evaluation Board
UG1073 (v1.2) March 26, 2016
PACKAGE_PIN BE19
IOSTANDARD
LVCMOS18
PACKAGE_PIN BF19
IOSTANDARD
LVCMOS18
PACKAGE_PIN BD18
IOSTANDARD
LVCMOS18
PACKAGE_PIN BE18
IOSTANDARD
LVCMOS18
PACKAGE_PIN AP20
IOSTANDARD
LVCMOS18
PACKAGE_PIN BD20
IOSTANDARD
LVCMOS18
PACKAGE_PIN BF20
IOSTANDARD
LVCMOS18
PACKAGE_PIN AL11
PACKAGE_PIN AL10
PACKAGE_PIN AK13
PACKAGE_PIN AK12
PACKAGE_PIN AW33
IOSTANDARD
LVDS
PACKAGE_PIN AY33
IOSTANDARD
LVDS
PACKAGE_PIN AY34
IOSTANDARD
LVDS
PACKAGE_PIN AY35
IOSTANDARD
LVDS
PACKAGE_PIN AY32
IOSTANDARD
LVDS
PACKAGE_PIN BA32
IOSTANDARD
LVDS
PACKAGE_PIN BA36
IOSTANDARD
LVDS
PACKAGE_PIN BB36
IOSTANDARD
LVDS
PACKAGE_PIN BA34
IOSTANDARD
LVDS
PACKAGE_PIN BB34
IOSTANDARD
LVDS
PACKAGE_PIN BB32
IOSTANDARD
LVDS
PACKAGE_PIN BB33
IOSTANDARD
LVDS
PACKAGE_PIN BC29
IOSTANDARD
LVDS
PACKAGE_PIN BC30
IOSTANDARD
LVDS
PACKAGE_PIN BD30
IOSTANDARD
LVDS
PACKAGE_PIN BE30
IOSTANDARD
LVDS
PACKAGE_PIN BA31
IOSTANDARD
LVDS
PACKAGE_PIN BB31
IOSTANDARD
LVDS
PACKAGE_PIN BE29
IOSTANDARD
LVDS
www.xilinx.com
Appendix D:
Master Constraints File Listing
[get_ports "QSPI1_IO0"]
[get_ports "QSPI1_IO0"]
[get_ports "QSPI1_IO1"]
[get_ports "QSPI1_IO1"]
[get_ports "QSPI1_IO2"]
[get_ports "QSPI1_IO2"]
[get_ports "QSPI1_IO3"]
[get_ports "QSPI1_IO3"]
[get_ports "QSPI1_CS_B"]
[get_ports "QSPI1_CS_B"]
[get_ports "FMC_HPC0_PG_M2C_LS"]
[get_ports "FMC_HPC0_PG_M2C_LS"]
[get_ports "FMC_HPC0_PRSNT_M2C_B_LS"]
[get_ports "FMC_HPC0_PRSNT_M2C_B_LS"]
[get_ports "FMC_HPC0_GBTCLK0_M2C_C_P"]
[get_ports "FMC_HPC0_GBTCLK0_M2C_C_N"]
[get_ports "FMC_HPC0_GBTCLK1_M2C_C_P"]
[get_ports "FMC_HPC0_GBTCLK1_M2C_C_N"]
[get_ports "FMC_HPC0_CLK0_M2C_P"]
[get_ports "FMC_HPC0_CLK0_M2C_P"]
[get_ports "FMC_HPC0_CLK0_M2C_N"]
[get_ports "FMC_HPC0_CLK0_M2C_N"]
[get_ports "FMC_HPC0_LA00_CC_P"]
[get_ports "FMC_HPC0_LA00_CC_P"]
[get_ports "FMC_HPC0_LA00_CC_N"]
[get_ports "FMC_HPC0_LA00_CC_N"]
[get_ports "FMC_HPC0_LA01_CC_P"]
[get_ports "FMC_HPC0_LA01_CC_P"]
[get_ports "FMC_HPC0_LA01_CC_N"]
[get_ports "FMC_HPC0_LA01_CC_N"]
[get_ports "FMC_HPC0_LA02_P"]
[get_ports "FMC_HPC0_LA02_P"]
[get_ports "FMC_HPC0_LA02_N"]
[get_ports "FMC_HPC0_LA02_N"]
[get_ports "FMC_HPC0_LA03_P"]
[get_ports "FMC_HPC0_LA03_P"]
[get_ports "FMC_HPC0_LA03_N"]
[get_ports "FMC_HPC0_LA03_N"]
[get_ports "FMC_HPC0_LA04_P"]
[get_ports "FMC_HPC0_LA04_P"]
[get_ports "FMC_HPC0_LA04_N"]
[get_ports "FMC_HPC0_LA04_N"]
[get_ports "FMC_HPC0_LA05_P"]
[get_ports "FMC_HPC0_LA05_P"]
[get_ports "FMC_HPC0_LA05_N"]
[get_ports "FMC_HPC0_LA05_N"]
[get_ports "FMC_HPC0_LA06_P"]
[get_ports "FMC_HPC0_LA06_P"]
[get_ports "FMC_HPC0_LA06_N"]
[get_ports "FMC_HPC0_LA06_N"]
[get_ports "FMC_HPC0_LA07_P"]
[get_ports "FMC_HPC0_LA07_P"]
[get_ports "FMC_HPC0_LA07_N"]
[get_ports "FMC_HPC0_LA07_N"]
[get_ports "FMC_HPC0_LA08_P"]
[get_ports "FMC_HPC0_LA08_P"]
143
Send Feedback

Advertisement

Table of Contents
loading

Table of Contents