Xilinx ZCU102 User Manual page 131

Hide thumbs Also See for ZCU102:
Table of Contents

Advertisement

set_property IOSTANDARD
set_property PACKAGE_PIN G13
set_property IOSTANDARD
set_property PACKAGE_PIN H13
set_property IOSTANDARD
#MSP430 SYSTEM CONTROLLER
set_property PACKAGE_PIN D11
set_property IOSTANDARD
set_property PACKAGE_PIN E10
set_property IOSTANDARD
set_property PACKAGE_PIN F10
set_property IOSTANDARD
set_property PACKAGE_PIN G10
set_property IOSTANDARD
set_property PACKAGE_PIN B12
set_property IOSTANDARD
set_property PACKAGE_PIN C12
set_property IOSTANDARD
#SFP
#SFP0
set_property PACKAGE_PIN D1[get_ports "SFP0_RX_N"]
set_property PACKAGE_PIN D2[get_ports "SFP0_RX_P"]
set_property PACKAGE_PIN E3[get_ports "SFP0_TX_N"]
set_property PACKAGE_PIN E4[get_ports "SFP0_TX_P"]
set_property PACKAGE_PIN A12[get_ports "SFP0_TX_DISABLE"]
set_property IOSTANDARDLVCMOS33 [get_ports "SFP0_TX_DISABLE"]
#SFP1
set_property PACKAGE_PIN C3[get_ports "SFP1_RX_N"]
set_property PACKAGE_PIN C4[get_ports "SFP1_RX_P"]
set_property PACKAGE_PIN D5[get_ports "SFP1_TX_N"]
set_property PACKAGE_PIN D6[get_ports "SFP1_TX_P"]
set_property PACKAGE_PIN A13[get_ports "SFP1_TX_DISABLE"]
set_property IOSTANDARDLVCMOS33 [get_ports "SFP1_TX_DISABLE"]
#SFP2
set_property PACKAGE_PIN B1[get_ports "SFP2_RX_N"]
set_property PACKAGE_PIN B2[get_ports "SFP2_RX_P"]
set_property PACKAGE_PIN B5[get_ports "SFP2_TX_N"]
set_property PACKAGE_PIN B6[get_ports "SFP2_TX_P"]
set_property PACKAGE_PIN B13[get_ports "SFP2_TX_DISABLE"]
set_property IOSTANDARDLVCMOS33 [get_ports "SFP2_TX_DISABLE"]
#SFP3
set_property PACKAGE_PIN A3[get_ports "SFP3_RX_N"]
set_property PACKAGE_PIN A4[get_ports "SFP3_RX_P"]
set_property PACKAGE_PIN A7[get_ports "SFP3_TX_N"]
set_property PACKAGE_PIN A8[get_ports "SFP3_TX_P"]
set_property PACKAGE_PIN C13[get_ports "SFP3_TX_DISABLE"]
set_property IOSTANDARDLVCMOS33 [get_ports "SFP3_TX_DISABLE"]
#SFP COMMON
set_property PACKAGE_PIN R9[get_ports "SFP_REC_CLOCK_C_N"]
set_property IOSTANDARDLVDS[get_ports "SFP_REC_CLOCK_C_N"]
set_property PACKAGE_PIN R10[get_ports "SFP_REC_CLOCK_C_P"]
set_property IOSTANDARDLVDS[get_ports "SFP_REC_CLOCK_C_P"]
set_property PACKAGE_PIN B9[get_ports "SFP_SI5328_OUT_C_N"]
ZCU102 Evaluation Board User Guide
UG1182 (v1.2) March 20, 2017
Appendix B:
LVCMOS33
[get_ports "L9P_AD11P_50_P"]
[get_ports "L8N_HDGC_50_N"]
LVCMOS33
[get_ports "L8N_HDGC_50_N"]
[get_ports "L8P_HDGC_50_P"]
LVCMOS33
[get_ports "L8P_HDGC_50_P"]
[get_ports "MSP430_GPIO_PL_0"]
LVCMOS33
[get_ports "MSP430_GPIO_PL_0"]
[get_ports "MSP430_GPIO_PL_1"]
LVCMOS33
[get_ports "MSP430_GPIO_PL_1"]
[get_ports "MSP430_GPIO_PL_2"]
LVCMOS33
[get_ports "MSP430_GPIO_PL_2"]
[get_ports "MSP430_GPIO_PL_3"]
LVCMOS33
[get_ports "MSP430_GPIO_PL_3"]
[get_ports "MSP430_UCA1_TXD"]
LVCMOS33
[get_ports "MSP430_UCA1_TXD"]
[get_ports "MSP430_UCA1_RXD"]
LVCMOS33
[get_ports "MSP430_UCA1_RXD"]
www.xilinx.com
Master Constraints File Listing
Send Feedback
131

Hide quick links:

Advertisement

Table of Contents
loading

This manual is also suitable for:

Amd zcu102

Table of Contents