Xilinx ZCU102 User Manual page 129

Hide thumbs Also See for ZCU102:
Table of Contents

Advertisement

set_property PACKAGE_PIN H18
set_property IOSTANDARD
set_property PACKAGE_PIN H21
set_property IOSTANDARD
set_property PACKAGE_PIN J21
set_property IOSTANDARD
set_property PACKAGE_PIN K19
set_property IOSTANDARD
set_property PACKAGE_PIN L19
set_property IOSTANDARD
#GPIO
#PUSHBUTTON SWITCHES
set_property PACKAGE_PIN AE14
set_property IOSTANDARD
set_property PACKAGE_PIN AE15
set_property IOSTANDARD
set_property PACKAGE_PIN AG15
set_property IOSTANDARD
set_property PACKAGE_PIN AF15
set_property IOSTANDARD
set_property PACKAGE_PIN AG13
set_property IOSTANDARD
#CPU RESET PUSHBUTTON
set_property PACKAGE_PIN AM13
set_property IOSTANDARD
#DIP SWITCH 8-POLE
set_property PACKAGE_PIN AK13
set_property IOSTANDARD
set_property PACKAGE_PIN AL13
set_property IOSTANDARD
set_property PACKAGE_PIN AP12
set_property IOSTANDARD
set_property PACKAGE_PIN AN12
set_property IOSTANDARD
set_property PACKAGE_PIN AN13
set_property IOSTANDARD
set_property PACKAGE_PIN AM14
set_property IOSTANDARD
set_property PACKAGE_PIN AP14
set_property IOSTANDARD
set_property PACKAGE_PIN AN14
set_property IOSTANDARD
#LED
set_property PACKAGE_PIN AG14
set_property IOSTANDARD
set_property PACKAGE_PIN AF13
set_property IOSTANDARD
set_property PACKAGE_PIN AE13
set_property IOSTANDARD
set_property PACKAGE_PIN AJ14
set_property IOSTANDARD
set_property PACKAGE_PIN AJ15
set_property IOSTANDARD
set_property PACKAGE_PIN AH13
set_property IOSTANDARD
ZCU102 Evaluation Board User Guide
UG1182 (v1.2) March 20, 2017
Appendix B:
[get_ports "TRACEDATA3"]
LVCMOS33
[get_ports "TRACEDATA3"]
[get_ports "TRACEDATA2"]
LVCMOS33
[get_ports "TRACEDATA2"]
[get_ports "TRACEDATA1"]
LVCMOS33
[get_ports "TRACEDATA1"]
[get_ports "TRACECTL"]
LVCMOS33
[get_ports "TRACECTL"]
[get_ports "TRACEDATA0"]
LVCMOS33
[get_ports "TRACEDATA0"]
[get_ports "GPIO_SW_E"]
LVCMOS33
[get_ports "GPIO_SW_E"]
[get_ports "GPIO_SW_S"]
LVCMOS33
[get_ports "GPIO_SW_S"]
[get_ports "GPIO_SW_N"]
LVCMOS33
[get_ports "GPIO_SW_N"]
[get_ports "GPIO_SW_W"]
LVCMOS33
[get_ports "GPIO_SW_W"]
[get_ports "GPIO_SW_C"]
LVCMOS33
[get_ports "GPIO_SW_C"]
[get_ports "CPU_RESET"]
LVCMOS33
[get_ports "CPU_RESET"]
[get_ports "GPIO_DIP_SW7"]
LVCMOS33
[get_ports "GPIO_DIP_SW7"]
[get_ports "GPIO_DIP_SW6"]
LVCMOS33
[get_ports "GPIO_DIP_SW6"]
[get_ports "GPIO_DIP_SW5"]
LVCMOS33
[get_ports "GPIO_DIP_SW5"]
[get_ports "GPIO_DIP_SW4"]
LVCMOS33
[get_ports "GPIO_DIP_SW4"]
[get_ports "GPIO_DIP_SW3"]
LVCMOS33
[get_ports "GPIO_DIP_SW3"]
[get_ports "GPIO_DIP_SW2"]
LVCMOS33
[get_ports "GPIO_DIP_SW2"]
[get_ports "GPIO_DIP_SW1"]
LVCMOS33
[get_ports "GPIO_DIP_SW1"]
[get_ports "GPIO_DIP_SW0"]
LVCMOS33
[get_ports "GPIO_DIP_SW0"]
[get_ports "GPIO_LED_0"]
LVCMOS33
[get_ports "GPIO_LED_0"]
[get_ports "GPIO_LED_1"]
LVCMOS33
[get_ports "GPIO_LED_1"]
[get_ports "GPIO_LED_2"]
LVCMOS33
[get_ports "GPIO_LED_2"]
[get_ports "GPIO_LED_3"]
LVCMOS33
[get_ports "GPIO_LED_3"]
[get_ports "GPIO_LED_4"]
LVCMOS33
[get_ports "GPIO_LED_4"]
[get_ports "GPIO_LED_5"]
LVCMOS33
[get_ports "GPIO_LED_5"]
www.xilinx.com
Master Constraints File Listing
Send Feedback
129

Hide quick links:

Advertisement

Table of Contents
loading

This manual is also suitable for:

Amd zcu102

Table of Contents

Save PDF