Enabling Avalon-St Device Configuration - Intel Agilex Configuration User Manual

Hide thumbs Also See for Agilex:
Table of Contents

Advertisement

Table 9.
Output File Types
Programming File Type
Programmer Object File
Raw Binary File
If you choose a third-party microprocessor for Avalon-ST configuration, refer to the Avalon Streaming Interfaces in the Avalon
Interface Specifications for protocol details.
Note:
Intel Agilex devices using Avalon ST x32 configuration and DDR x72 external memory interfaces are limited to a maximum of
three memory interfaces. The Avalon ST x8 and x16 can support up to four DDR x72 external memory interfaces.

3.1.2. Enabling Avalon-ST Device Configuration

You enable the Avalon-ST device configuration scheme in the Intel Quartus Prime software.
Complete the following steps to specify an Avalon-ST interface for device configuration.
1. On the Assignments menu, click Device.
2. In the Device and Pin Options dialog box, select the Configuration category.
3. In the Configuration window, in the Configuration scheme dropdown list, select the appropriate Avalon-ST bus width.
4. Click OK to confirm and close the Device and Pin Options dialog box.
Intel
®
Agilex
Configuration User Guide
44
Extension
The
is a proprietary Intel FPGA file type. Use the PFL II IP core via a JTAG header to write
.pof
.pof
the
to an external CFI flash or serial flash device.
.pof
You can also use the
.rbf
a CPU or microcontroller.
You can program the configuration bitstreams or data in the
third-party programmer. Then, you can use an external host to configure the device with the
Avalon-ST configuration scheme.
3. Intel Agilex Configuration Schemes
Description
with the Avalon-ST configuration scheme and an external host such as
.rbf
UG-20205 | 2019.10.09
file directly into flash via a
.rbf
Send Feedback

Advertisement

Table of Contents
loading

Table of Contents