Xilinx VC707 User Manual page 86

For the virtex-7 fpga
Hide thumbs Also See for VC707:
Table of Contents

Advertisement

Appendix C: Master Constraints File Listing
86
Send Feedback
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_D11]
set_property PACKAGE_PIN AL35 [get_ports FLASH_D12]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_D12]
set_property PACKAGE_PIN AJ31 [get_ports FLASH_D13]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_D13]
set_property PACKAGE_PIN AH34 [get_ports FLASH_D14]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_D14]
set_property PACKAGE_PIN AJ35 [get_ports FLASH_D15]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_D15]
set_property PACKAGE_PIN AL36 [get_ports FLASH_CE_B]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_CE_B]
set_property PACKAGE_PIN AM34 [get_ports FLASH_WAIT]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_WAIT]
set_property PACKAGE_PIN AJ28 [get_ports FLASH_A0]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A0]
set_property PACKAGE_PIN AH28 [get_ports FLASH_A1]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A1]
set_property PACKAGE_PIN AG31 [get_ports FLASH_A2]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A2]
set_property PACKAGE_PIN AF30 [get_ports FLASH_A3]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A3]
set_property PACKAGE_PIN AK29 [get_ports FLASH_A4]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A4]
set_property PACKAGE_PIN AK28 [get_ports FLASH_A5]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A5]
set_property PACKAGE_PIN AG29 [get_ports FLASH_A6]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A6]
set_property PACKAGE_PIN AK30 [get_ports FLASH_A7]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A7]
set_property PACKAGE_PIN AJ30 [get_ports FLASH_A8]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A8]
set_property PACKAGE_PIN AH30 [get_ports FLASH_A9]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A9]
set_property PACKAGE_PIN AH29 [get_ports FLASH_A10]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A10]
set_property PACKAGE_PIN AL30 [get_ports FLASH_A11]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A11]
set_property PACKAGE_PIN AL29 [get_ports FLASH_A12]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A12]
set_property PACKAGE_PIN AN33 [get_ports FLASH_A13]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A13]
set_property PACKAGE_PIN AM33 [get_ports FLASH_A14]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A14]
set_property PACKAGE_PIN AM32 [get_ports FLASH_A15]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A15]
set_property PACKAGE_PIN AV41 [get_ports FLASH_A16]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A16]
set_property PACKAGE_PIN AU41 [get_ports FLASH_A17]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A17]
set_property PACKAGE_PIN BA42 [get_ports FLASH_A18]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A18]
set_property PACKAGE_PIN AU42 [get_ports FLASH_A19]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A19]
set_property PACKAGE_PIN AT41 [get_ports FLASH_A20]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A20]
set_property PACKAGE_PIN BA40 [get_ports FLASH_A21]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A21]
set_property PACKAGE_PIN BA39 [get_ports FLASH_A22]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A22]
www.xilinx.com
VC707 Evaluation Board
UG885 (v1.4) May 12, 2014

Hide quick links:

Advertisement

Table of Contents
loading

Table of Contents