Xilinx VC707 User Manual page 100

For the virtex-7 fpga
Hide thumbs Also See for VC707:
Table of Contents

Advertisement

Appendix C: Master Constraints File Listing
100
Send Feedback
set_property IOSTANDARD LVCMOS18 [get_ports FMC2_HPC_HA20_N]
set_property PACKAGE_PIN AA34 [get_ports FMC2_HPC_HA21_P]
set_property IOSTANDARD LVCMOS18 [get_ports FMC2_HPC_HA21_P]
set_property PACKAGE_PIN AA35 [get_ports FMC2_HPC_HA21_N]
set_property IOSTANDARD LVCMOS18 [get_ports FMC2_HPC_HA21_N]
set_property PACKAGE_PIN Y35 [get_ports FMC2_HPC_HA22_P]
set_property IOSTANDARD LVCMOS18 [get_ports FMC2_HPC_HA22_P]
set_property PACKAGE_PIN AA36 [get_ports FMC2_HPC_HA22_N]
set_property IOSTANDARD LVCMOS18 [get_ports FMC2_HPC_HA22_N]
set_property PACKAGE_PIN Y37 [get_ports FMC2_HPC_HA23_P]
set_property IOSTANDARD LVCMOS18 [get_ports FMC2_HPC_HA23_P]
set_property PACKAGE_PIN AA37 [get_ports FMC2_HPC_HA23_N]
set_property IOSTANDARD LVCMOS18 [get_ports FMC2_HPC_HA23_N]
#HDMI
set_property PACKAGE_PIN AM24 [get_ports HDMI_INT]
set_property IOSTANDARD LVCMOS18 [get_ports HDMI_INT]
set_property PACKAGE_PIN AP21 [get_ports HDMI_R_DE]
set_property IOSTANDARD LVCMOS18 [get_ports HDMI_R_DE]
set_property PACKAGE_PIN AR23 [get_ports HDMI_R_SPDIF]
set_property IOSTANDARD LVCMOS18 [get_ports HDMI_R_SPDIF]
set_property PACKAGE_PIN AR22 [get_ports HDMI_SPDIF_OUT_LS]
set_property IOSTANDARD LVCMOS18 [get_ports HDMI_SPDIF_OUT_LS]
set_property PACKAGE_PIN AT22 [get_ports HDMI_R_VSYNC]
set_property IOSTANDARD LVCMOS18 [get_ports HDMI_R_VSYNC]
set_property PACKAGE_PIN AU22 [get_ports HDMI_R_HSYNC]
set_property IOSTANDARD LVCMOS18 [get_ports HDMI_R_HSYNC]
set_property PACKAGE_PIN AU23 [get_ports HDMI_R_CLK]
set_property IOSTANDARD LVCMOS18 [get_ports HDMI_R_CLK]
set_property PACKAGE_PIN AM22 [get_ports HDMI_R_D0]
set_property IOSTANDARD LVCMOS18 [get_ports HDMI_R_D0]
set_property PACKAGE_PIN AL22 [get_ports HDMI_R_D1]
set_property IOSTANDARD LVCMOS18 [get_ports HDMI_R_D1]
set_property PACKAGE_PIN AJ20 [get_ports HDMI_R_D2]
set_property IOSTANDARD LVCMOS18 [get_ports HDMI_R_D2]
set_property PACKAGE_PIN AJ21 [get_ports HDMI_R_D3]
set_property IOSTANDARD LVCMOS18 [get_ports HDMI_R_D3]
set_property PACKAGE_PIN AM21 [get_ports HDMI_R_D4]
set_property IOSTANDARD LVCMOS18 [get_ports HDMI_R_D4]
set_property PACKAGE_PIN AL21 [get_ports HDMI_R_D5]
set_property IOSTANDARD LVCMOS18 [get_ports HDMI_R_D5]
set_property PACKAGE_PIN AK22 [get_ports HDMI_R_D6]
set_property IOSTANDARD LVCMOS18 [get_ports HDMI_R_D6]
set_property PACKAGE_PIN AJ22 [get_ports HDMI_R_D7]
set_property IOSTANDARD LVCMOS18 [get_ports HDMI_R_D7]
set_property PACKAGE_PIN AL20 [get_ports HDMI_R_D8]
set_property IOSTANDARD LVCMOS18 [get_ports HDMI_R_D8]
set_property PACKAGE_PIN AK20 [get_ports HDMI_R_D9]
set_property IOSTANDARD LVCMOS18 [get_ports HDMI_R_D9]
set_property PACKAGE_PIN AK23 [get_ports HDMI_R_D10]
set_property IOSTANDARD LVCMOS18 [get_ports HDMI_R_D10]
set_property PACKAGE_PIN AJ23 [get_ports HDMI_R_D11]
set_property IOSTANDARD LVCMOS18 [get_ports HDMI_R_D11]
set_property PACKAGE_PIN AN21 [get_ports HDMI_R_D12]
set_property IOSTANDARD LVCMOS18 [get_ports HDMI_R_D12]
set_property PACKAGE_PIN AP22 [get_ports HDMI_R_D13]
set_property IOSTANDARD LVCMOS18 [get_ports HDMI_R_D13]
set_property PACKAGE_PIN AP23 [get_ports HDMI_R_D14]
set_property IOSTANDARD LVCMOS18 [get_ports HDMI_R_D14]
www.xilinx.com
VC707 Evaluation Board
UG885 (v1.4) May 12, 2014

Hide quick links:

Advertisement

Table of Contents
loading

Table of Contents