Xilinx ZC706 User Manual page 99

Evaluation board for the zynq-7000 xc7z045 all programmable soc
Hide thumbs Also See for ZC706:
Table of Contents

Advertisement

set_property PACKAGE_PIN AJ30 [get_ports FMC_LPC_LA26_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA26_P]
set_property PACKAGE_PIN AJ29 [get_ports FMC_LPC_LA27_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA27_N]
set_property PACKAGE_PIN AJ28 [get_ports FMC_LPC_LA27_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA27_P]
set_property PACKAGE_PIN AE26 [get_ports FMC_LPC_LA28_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA28_N]
set_property PACKAGE_PIN AD25 [get_ports FMC_LPC_LA28_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA28_P]
set_property PACKAGE_PIN AF25 [get_ports FMC_LPC_LA29_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA29_N]
set_property PACKAGE_PIN AE25 [get_ports FMC_LPC_LA29_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA29_P]
set_property PACKAGE_PIN AB30 [get_ports FMC_LPC_LA30_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA30_N]
set_property PACKAGE_PIN AB29 [get_ports FMC_LPC_LA30_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA30_P]
set_property PACKAGE_PIN AD29 [get_ports FMC_LPC_LA31_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA31_N]
set_property PACKAGE_PIN AC29 [get_ports FMC_LPC_LA31_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA31_P]
set_property PACKAGE_PIN Y27 [get_ports FMC_LPC_LA32_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA32_N]
set_property PACKAGE_PIN Y26 [get_ports FMC_LPC_LA32_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA32_P]
set_property PACKAGE_PIN AA30 [get_ports FMC_LPC_LA33_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA33_N]
set_property PACKAGE_PIN Y30 [get_ports FMC_LPC_LA33_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA33_P]
#GPIO DIP SW
set_property PACKAGE_PIN AB17 [get_ports GPIO_DIP_SW0]
set_property IOSTANDARD LVCMOS25 [get_ports GPIO_DIP_SW0]
set_property PACKAGE_PIN AC16 [get_ports GPIO_DIP_SW1]
set_property IOSTANDARD LVCMOS25 [get_ports GPIO_DIP_SW1]
set_property PACKAGE_PIN AC17 [get_ports GPIO_DIP_SW2]
set_property IOSTANDARD LVCMOS25 [get_ports GPIO_DIP_SW2]
set_property PACKAGE_PIN AJ13 [get_ports GPIO_DIP_SW3]
set_property IOSTANDARD LVCMOS25 [get_ports GPIO_DIP_SW3]
#GPIO P.B. SW
set_property PACKAGE_PIN K15 [get_ports GPIO_SW_CENTER]
set_property IOSTANDARD LVCMOS15 [get_ports GPIO_SW_CENTER]
set_property PACKAGE_PIN AK25 [get_ports GPIO_SW_LEFT]
set_property IOSTANDARD LVCMOS25 [get_ports GPIO_SW_LEFT]
set_property PACKAGE_PIN R27 [get_ports GPIO_SW_RIGHT]
set_property IOSTANDARD LVCMOS25 [get_ports GPIO_SW_RIGHT]
set_property PACKAGE_PIN A8 [get_ports PL_CPU_RESET]
set_property IOSTANDARD LVCMOS15 [get_ports PL_CPU_RESET]
#GPIO LEDs
set_property PACKAGE_PIN A17 [get_ports GPIO_LED_0]
set_property IOSTANDARD LVCMOS15 [get_ports GPIO_LED_0]
ZC706 Evaluation Board User Guide
UG954 (v1.5) September 10, 2015
www.xilinx.com
ZC706 Evaluation Board XDC Listing
Send Feedback
99

Hide quick links:

Advertisement

Table of Contents
loading

Table of Contents