Xilinx ZC706 User Manual page 107

Evaluation board for the zynq-7000 xc7z045 all programmable soc
Hide thumbs Also See for ZC706:
Table of Contents

Advertisement

#SFP
set_property PACKAGE_PIN Y5 [get_ports SFP_RX_N]
set_property PACKAGE_PIN Y6 [get_ports SFP_RX_P]
set_property PACKAGE_PIN W3 [get_ports SFP_TX_N]
set_property PACKAGE_PIN W4 [get_ports SFP_TX_P]
set_property PACKAGE_PIN AA18 [get_ports SFP_TX_DISABLE]
set_property IOSTANDARD LVCMOS25 [get_ports SFP_TX_DISABLE]
#SMA MGT
set_property PACKAGE_PIN AB5 [get_ports SMA_MGT_RX_N]
set_property PACKAGE_PIN AB6 [get_ports SMA_MGT_RX_P]
set_property PACKAGE_PIN Y1 [get_ports SMA_MGT_TX_N]
set_property PACKAGE_PIN Y2 [get_ports SMA_MGT_TX_P]
#XADC
set_property PACKAGE_PIN K13 [get_ports XADC_AD1_R_N]
set_property IOSTANDARD LVCMOS15 [get_ports XADC_AD1_R_N]
set_property PACKAGE_PIN L13 [get_ports XADC_AD1_R_P]
set_property IOSTANDARD LVCMOS15 [get_ports XADC_AD1_R_P]
set_property PACKAGE_PIN L14 [get_ports XADC_VAUX0N_R]
set_property IOSTANDARD LVCMOS15 [get_ports XADC_VAUX0N_R]
set_property PACKAGE_PIN L15 [get_ports XADC_VAUX0P_R]
set_property IOSTANDARD LVCMOS5 [get_ports XADC_VAUX0P_R]
set_property PACKAGE_PIN H13 [get_ports XADC_VAUX8N_R]
set_property IOSTANDARD LVCMOS15 [get_ports XADC_VAUX8N_R]
set_property PACKAGE_PIN J13 [get_ports XADC_VAUX8P_R]
set_property IOSTANDARD LVCMOS15 [get_ports XADC_VAUX8P_R]
set_property PACKAGE_PIN H14 [get_ports XADC_GPIO_0]
set_property IOSTANDARD LVCMOS15 [get_ports XADC_GPIO_0]
set_property PACKAGE_PIN J15 [get_ports XADC_GPIO_1]
set_property IOSTANDARD LVCMOS15 [get_ports XADC_GPIO_1]
set_property PACKAGE_PIN J16 [get_ports XADC_GPIO_2]
set_property IOSTANDARD LVCMOS15 [get_ports XADC_GPIO_2]
set_property PACKAGE_PIN J14 [get_ports XADC_GPIO_3]
set_property IOSTANDARD LVCMOS15 [get_ports XADC_GPIO_3]
#FAN
set_property PACKAGE_PIN AB19 [get_ports SM_FAN_PWM]
set_property IOSTANDARD LVCMOS25 [get_ports SM_FAN_PWM]
set_property PACKAGE_PIN AA19 [get_ports SM_FAN_TACH]
set_property IOSTANDARD LVCMOS25 [get_ports SM_FAN_TACH]
#PWRCTL1 PG
set_property PACKAGE_PIN AB20 [get_ports PWRCTL1_FMC_PG_C2M_LS]
set_property IOSTANDARD LVCMOS25 [get_ports PWRCTL1_FMC_PG_C2M_LS]
ZC706 Evaluation Board User Guide
UG954 (v1.5) September 10, 2015
www.xilinx.com
ZC706 Evaluation Board XDC Listing
Send Feedback
107

Hide quick links:

Advertisement

Table of Contents
loading

Table of Contents