Gt_Fibre_Chan_1 - Xilinx RocketIO User Manual

Hide thumbs Also See for RocketIO:
Table of Contents

Advertisement

Verilog Module Declarations

GT_FIBRE_CHAN_1

UG024 (v1.5) October 16, 2002
RocketIO™ Transceiver User Guide
RXCLKCORCNT,
RXCOMMADET,
RXCRCERR,
RXDATA,
RXDISPERR,
RXLOSSOFSYNC,
RXNOTINTABLE,
RXREALIGN,
RXRECCLK,
RXRUNDISP,
TXBUFERR,
TXKERR,
TXN,
TXP,
TXRUNDISP,
CONFIGENABLE,
CONFIGIN,
LOOPBACK,
POWERDOWN,
REFCLK,
REFCLK2,
REFCLKSEL,
BREFCLK,
BREFCLK2,
RXN,
RXP,
RXPOLARITY,
RXRESET,
RXUSRCLK,
RXUSRCLK2,
TXBYPASS8B10B,
TXCHARDISPMODE,
TXCHARDISPVAL,
TXCHARISK,
TXDATA,
TXFORCECRCERR,
TXINHIBIT,
TXPOLARITY,
TXRESET,
TXUSRCLK,
TXUSRCLK2
);
module GT_FIBRE_CHAN_1 (
CONFIGOUT,
RXBUFSTATUS,
RXCHARISCOMMA,
RXCHARISK,
RXCHECKINGCRC,
RXCLKCORCNT,
RXCOMMADET,
RXCRCERR,
RXDATA,
RXDISPERR,
RXLOSSOFSYNC,
RXNOTINTABLE,
RXREALIGN,
RXRECCLK,
www.xilinx.com
1-800-255-7778
R
115

Advertisement

Table of Contents
loading

Table of Contents