Intel Pentium Pro Family Developer's Manual page 12

Table of Contents

Advertisement

TABLE OF FIGURES
APIC and Bus Controller. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-1
Figure 1-2.
Pentium
®
Three Engines Communicating Using an Instruction Pool . . . . . . . . . . . . . . . . 2-1
A Typical Code Fragment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-2
Inside the Fetch/Decode Unit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-4
Inside the Dispatch/Execute Unit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-6
Inside the Retire Unit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-7
Inside the Bus Interface Unit. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-8
Latched Bus Protocol . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-3
®
Figure 3-2.
Pentium
BR[3:0]# Physical Interconnection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-3
Symmetric Arbitration of a Single Agent After RESET# . . . . . . . . . . . . . . . . . . 4-6
Signal Deassertion After Bus Reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-7
Delay of Transaction Generation After Reset . . . . . . . . . . . . . . . . . . . . . . . . . . 4-8
Symmetric Bus Arbitration with no LOCK# . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-9
Symmetric Arbitration with no Transaction Generation . . . . . . . . . . . . . . . . . 4-11
Symmetric and Priority Bus Exchange During LOCK# . . . . . . . . . . . . . . . . . . 4-13
BNR# Sampling After RESET#. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-14
BNR# Sampling After ADS# . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-15
Request Generation Phase . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-19
Four-Clock Snoop Phase . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-22
Snoop Phase Stall Due to a Slower Agent . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-23
RS[2:0]# Activation with no TRDY# . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-27
RS[2:0]# Activation with Request Initiated TRDY# . . . . . . . . . . . . . . . . . . . . . 4-28
RS[2:0]# Activation with Snoop Initiated TRDY# . . . . . . . . . . . . . . . . . . . . . . 4-29
RS[2:0]# Activation After Two TRDY# Assertions . . . . . . . . . . . . . . . . . . . . . 4-30
Request Initiated Data Transfer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-34
Response Initiated Data Transfer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-35
Snoop Initiated Data Transfer. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-36
Full Speed Read Partial Transactions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-37
Relaxed DBSY# Deassertion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-38
Full Speed Read Line Transactions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-39
Full Speed Write Partial Transactions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-40
Full Speed Write Line Transactions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-41
Bus Transactions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-1
Transaction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-15
BERR# Protocol Mechanism . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-8
BINIT# Protocol Mechanism . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-10
®
Hardware Configuration Signal Sampling. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-1
BR[3:0]# Physical Interconnection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-7
TAP Controller Finite State Machine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-2
Figure 10-3.
Pentium
®
xiv
®
Pro Processor System Interface Block Diagram. . . . . . . . . . . . . . . . 1-5
Pro Processor Bus Transaction Phases . . . . . . . . . . . . . . . . . . . . . . 3-5
Pro Processor Errors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-11
Pro Processor TAP instruction Register . . . . . . . . . . . . . . . . . . . . . 10-4
®
Pro Processor TAP logic . . . . . . . . . . 10-1
PAGE

Advertisement

Table of Contents
loading

Table of Contents