Intel Agilex User Manual page 54

Variable precision dsp blocks
Hide thumbs Also See for Agilex:
Table of Contents

Advertisement

fp16_mult_bot_inexact
fp16_mult_bot_overflow
fp16_mult_bot_underflow
fp16_adder_invalid
fp16_adder_inexact
fp16_adder_overflow
fp16_adder_underflow
fp32_adder_invalid
fp32_adder_inexact
fp32_adder_overflow
fp32_adder_underflow
The following are exception flags supported in extended format:
fp16_mult_top_invalid
fp16_mult_top_inexact
fp16_mult_top_infinite
fp16_mult_top_zero
fp16_mult_bot_invalid
fp16_mult_bot_inexact
fp16_mult_bot_infinite
fp16_mult_bot_zero
fp16_adder_invalid
fp16_adder_inexact
fp16_adder_infinite
fp16_adder_zero
fp32_adder_invalid
fp32_adder_inexact
fp32_adder_overflow
fp32_adder_underflow
®
Intel
Agilex
Variable Precision DSP Blocks User Guide
54
3. Intel Agilex Variable Precision DSP Blocks Operational Modes
UG-20213 | 2019.04.02
Send Feedback

Advertisement

Table of Contents
loading

Table of Contents