Priority Levels Of Tasks - Delta Electronics DVP15MC11T Operation Manual

Table of Contents

Advertisement

DVP15MC11T Operation Manual
Insufficient SYNC period time will result in the controlled device to fail to receive SYNC signal and
unpredictable operations. Refer to section 7.3 for SYNC period setting.
Rising edge or falling edge of local input points (I0~I7,I10~I17)
The task is triggered when rising edge or falling edge of input point signal is detected. The response time
of input points can be set through the filter function.
CANopen bus SYNC message
The task is triggered when SYNC signal is produced at CANopen port of the controller.
Z pulse rising edge for incremental encoder 1
The task is triggered when the rising edge of Z signal of the first encoder is detected at Encoder port of
the controller.
Z pulse rising edge for incremental encoder 2
The task is triggered when the rising edge of Z signal of the second encoder is detected at Encoder port of
the controller.
7.1.2

Priority levels of Tasks

The controller can not perform multiple tasks simultaneously. Every task must be given a priority level and
they are executed according to preset priorities. Priority level can be set within the range of 1 to 24. (1 is the
highest priority and 24 is the lowest priority.) The priority level of each task must be unique. The task with
higher priority takes priority to perform. The high-priority task can interrupt the low-priority task.
We recommend that the task which has a high requirement of real time should be given a high priority and the
task which has a low requirement of real time should be given a low priority. The priority of the default motion
control task built in the CANopen Builder software is 1 by default.
The principle for multi-task execution
When the execution conditions of two tasks are met simultaneously (Cyclic task and
freewheeling task)
Priority
High
Cyclic task
(
Priority 1
_7
Fre ewh eelin g task
(
Priority 2
Sys tem
proces sing
Low
1
The execution conditions for the cyclic task and freewheeling task are met at the same time. The
E
A
cyclic task is executed first because of its higher priority.
2
When the cyclic task execution is finished, the freewheeling task execution starts.
A
E
A
7-4
Time interval between tasks
User
I O
)
program
1
2
Task execution
Task execution
finished
condition met
Task execu tion tim e
User
Pause
IO
)
program
Task execution
Task execut ion
condition met
finished
User
IO
program
5
Task execution
condit ion met
Task execu tion t im e
I O User
Pause
program
3
4
Task execution
condition met
Sy stem
pr ocess ing
Time int erval between t asks
6
Task execut ion
finished
Task e xecut ion time
User
IO
program
7
Task execut ion
Task execut ion
condition met
finished
Sys tem
proces sing
User
program
8
Sys tem
proc ess ing

Hide quick links:

Advertisement

Table of Contents
loading

Table of Contents