Xilinx KC705 User Manual page 96

For the kintex-7 fpga
Hide thumbs Also See for KC705:
Table of Contents

Advertisement

Appendix C: Master Constraints File Listing
96
Send Feedback
#GPIO PUSHBUTTON SW
set_property PACKAGE_PIN G12 [get_ports GPIO_SW_C]
set_property IOSTANDARD LVCMOS25 [get_ports GPIO_SW_C]
set_property PACKAGE_PIN AG5 [get_ports GPIO_SW_E]
set_property IOSTANDARD LVCMOS15 [get_ports GPIO_SW_E]
set_property PACKAGE_PIN AA12 [get_ports GPIO_SW_N]
set_property IOSTANDARD LVCMOS15 [get_ports GPIO_SW_N]
set_property PACKAGE_PIN AB12 [get_ports GPIO_SW_S]
set_property IOSTANDARD LVCMOS15 [get_ports GPIO_SW_S]
set_property PACKAGE_PIN AC6 [get_ports GPIO_SW_W]
set_property IOSTANDARD LVCMOS15 [get_ports GPIO_SW_W]
set_property PACKAGE_PIN AB7 [get_ports CPU_RESET]
set_property IOSTANDARD LVCMOS15 [get_ports CPU_RESET]
#GPIO ROTARY SW
set_property PACKAGE_PIN Y26 [get_ports ROTARY_INCA]
set_property IOSTANDARD LVCMOS25 [get_ports ROTARY_INCA]
set_property PACKAGE_PIN Y25 [get_ports ROTARY_INCB]
set_property IOSTANDARD LVCMOS25 [get_ports ROTARY_INCB]
set_property PACKAGE_PIN AA26 [get_ports ROTARY_PUSH]
set_property IOSTANDARD LVCMOS25 [get_ports ROTARY_PUSH]
#GPIO LEDs
set_property PACKAGE_PIN AB8 [get_ports GPIO_LED_0_LS]
set_property IOSTANDARD LVCMOS15 [get_ports GPIO_LED_0_LS]
set_property PACKAGE_PIN AA8 [get_ports GPIO_LED_1_LS]
set_property IOSTANDARD LVCMOS15 [get_ports GPIO_LED_1_LS]
set_property PACKAGE_PIN AC9 [get_ports GPIO_LED_2_LS]
set_property IOSTANDARD LVCMOS15 [get_ports GPIO_LED_2_LS]
set_property PACKAGE_PIN AB9 [get_ports GPIO_LED_3_LS]
set_property IOSTANDARD LVCMOS15 [get_ports GPIO_LED_3_LS]
set_property PACKAGE_PIN AE26 [get_ports GPIO_LED_4_LS]
set_property IOSTANDARD LVCMOS25 [get_ports GPIO_LED_4_LS]
set_property PACKAGE_PIN G19 [get_ports GPIO_LED_5_LS]
set_property IOSTANDARD LVCMOS25 [get_ports GPIO_LED_5_LS]
set_property PACKAGE_PIN E18 [get_ports GPIO_LED_6_LS]
set_property IOSTANDARD LVCMOS25 [get_ports GPIO_LED_6_LS]
set_property PACKAGE_PIN F16 [get_ports GPIO_LED_7_LS]
set_property IOSTANDARD LVCMOS25 [get_ports GPIO_LED_7_LS]
#GPIO LCD
set_property PACKAGE_PIN AA13 [get_ports LCD_DB4_LS]
set_property IOSTANDARD LVCMOS15 [get_ports LCD_DB4_LS]
set_property PACKAGE_PIN AA10 [get_ports LCD_DB5_LS]
set_property IOSTANDARD LVCMOS15 [get_ports LCD_DB5_LS]
set_property PACKAGE_PIN AA11 [get_ports LCD_DB6_LS]
set_property IOSTANDARD LVCMOS15 [get_ports LCD_DB6_LS]
set_property PACKAGE_PIN Y10 [get_ports LCD_DB7_LS]
set_property IOSTANDARD LVCMOS15 [get_ports LCD_DB7_LS]
set_property PACKAGE_PIN AB10 [get_ports LCD_E_LS]
set_property IOSTANDARD LVCMOS15 [get_ports LCD_E_LS]
set_property PACKAGE_PIN Y11 [get_ports LCD_RS_LS]
set_property IOSTANDARD LVCMOS15 [get_ports LCD_RS_LS]
set_property PACKAGE_PIN AB13 [get_ports LCD_RW_LS]
set_property IOSTANDARD LVCMOS15 [get_ports LCD_RW_LS]
#GPIO USER SMA
set_property PACKAGE_PIN Y24 [get_ports USER_SMA_GPIO_N]
www.xilinx.com
KC705 Evaluation Board
UG810 (v1.6.2) August 26, 2015

Hide quick links:

Advertisement

Table of Contents
loading

Table of Contents