Xilinx KC705 User Manual page 94

For the kintex-7 fpga
Hide thumbs Also See for KC705:
Table of Contents

Advertisement

Appendix C: Master Constraints File Listing
94
Send Feedback
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA07_N]
set_property PACKAGE_PIN AG25 [get_ports FMC_LPC_LA07_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA07_P]
set_property PACKAGE_PIN AJ23 [get_ports FMC_LPC_LA08_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA08_N]
set_property PACKAGE_PIN AJ22 [get_ports FMC_LPC_LA08_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA08_P]
set_property PACKAGE_PIN AK24 [get_ports FMC_LPC_LA09_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA09_N]
set_property PACKAGE_PIN AK23 [get_ports FMC_LPC_LA09_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA09_P]
set_property PACKAGE_PIN AK25 [get_ports FMC_LPC_LA10_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA10_N]
set_property PACKAGE_PIN AJ24 [get_ports FMC_LPC_LA10_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA10_P]
set_property PACKAGE_PIN AF25 [get_ports FMC_LPC_LA11_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA11_N]
set_property PACKAGE_PIN AE25 [get_ports FMC_LPC_LA11_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA11_P]
set_property PACKAGE_PIN AB20 [get_ports FMC_LPC_LA12_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA12_N]
set_property PACKAGE_PIN AA20 [get_ports FMC_LPC_LA12_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA12_P]
set_property PACKAGE_PIN AC25 [get_ports FMC_LPC_LA13_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA13_N]
set_property PACKAGE_PIN AB24 [get_ports FMC_LPC_LA13_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA13_P]
set_property PACKAGE_PIN AE21 [get_ports FMC_LPC_LA14_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA14_N]
set_property PACKAGE_PIN AD21 [get_ports FMC_LPC_LA14_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA14_P]
set_property PACKAGE_PIN AD24 [get_ports FMC_LPC_LA15_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA15_N]
set_property PACKAGE_PIN AC24 [get_ports FMC_LPC_LA15_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA15_P]
set_property PACKAGE_PIN AD22 [get_ports FMC_LPC_LA16_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA16_N]
set_property PACKAGE_PIN AC22 [get_ports FMC_LPC_LA16_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA16_P]
set_property PACKAGE_PIN AC27 [get_ports FMC_LPC_LA17_CC_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA17_CC_N]
set_property PACKAGE_PIN AB27 [get_ports FMC_LPC_LA17_CC_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA17_CC_P]
set_property PACKAGE_PIN AD28 [get_ports FMC_LPC_LA18_CC_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA18_CC_N]
set_property PACKAGE_PIN AD27 [get_ports FMC_LPC_LA18_CC_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA18_CC_P]
set_property PACKAGE_PIN AK26 [get_ports FMC_LPC_LA19_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA19_N]
set_property PACKAGE_PIN AJ26 [get_ports FMC_LPC_LA19_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA19_P]
set_property PACKAGE_PIN AF27 [get_ports FMC_LPC_LA20_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA20_N]
set_property PACKAGE_PIN AF26 [get_ports FMC_LPC_LA20_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA20_P]
set_property PACKAGE_PIN AG28 [get_ports FMC_LPC_LA21_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA21_N]
set_property PACKAGE_PIN AG27 [get_ports FMC_LPC_LA21_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA21_P]
www.xilinx.com
KC705 Evaluation Board
UG810 (v1.6.2) August 26, 2015

Hide quick links:

Advertisement

Table of Contents
loading

Table of Contents