Xilinx KC705 User Manual page 90

For the kintex-7 fpga
Hide thumbs Also See for KC705:
Table of Contents

Advertisement

Appendix C: Master Constraints File Listing
90
Send Feedback
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA13_N]
set_property PACKAGE_PIN A25 [get_ports FMC_HPC_LA13_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA13_P]
set_property PACKAGE_PIN A28 [get_ports FMC_HPC_LA14_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA14_N]
set_property PACKAGE_PIN B28 [get_ports FMC_HPC_LA14_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA14_P]
set_property PACKAGE_PIN B24 [get_ports FMC_HPC_LA15_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA15_N]
set_property PACKAGE_PIN C24 [get_ports FMC_HPC_LA15_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA15_P]
set_property PACKAGE_PIN A27 [get_ports FMC_HPC_LA16_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA16_N]
set_property PACKAGE_PIN B27 [get_ports FMC_HPC_LA16_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA16_P]
set_property PACKAGE_PIN E20 [get_ports FMC_HPC_LA17_CC_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA17_CC_N]
set_property PACKAGE_PIN F20 [get_ports FMC_HPC_LA17_CC_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA17_CC_P]
set_property PACKAGE_PIN E21 [get_ports FMC_HPC_LA18_CC_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA18_CC_N]
set_property PACKAGE_PIN F21 [get_ports FMC_HPC_LA18_CC_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA18_CC_P]
set_property PACKAGE_PIN F18 [get_ports FMC_HPC_LA19_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA19_N]
set_property PACKAGE_PIN G18 [get_ports FMC_HPC_LA19_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA19_P]
set_property PACKAGE_PIN D19 [get_ports FMC_HPC_LA20_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA20_N]
set_property PACKAGE_PIN E19 [get_ports FMC_HPC_LA20_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA20_P]
set_property PACKAGE_PIN A21 [get_ports FMC_HPC_LA21_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA21_N]
set_property PACKAGE_PIN A20 [get_ports FMC_HPC_LA21_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA21_P]
set_property PACKAGE_PIN B20 [get_ports FMC_HPC_LA22_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA22_N]
set_property PACKAGE_PIN C20 [get_ports FMC_HPC_LA22_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA22_P]
set_property PACKAGE_PIN A22 [get_ports FMC_HPC_LA23_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA23_N]
set_property PACKAGE_PIN B22 [get_ports FMC_HPC_LA23_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA23_P]
set_property PACKAGE_PIN A17 [get_ports FMC_HPC_LA24_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA24_N]
set_property PACKAGE_PIN A16 [get_ports FMC_HPC_LA24_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA24_P]
set_property PACKAGE_PIN F17 [get_ports FMC_HPC_LA25_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA25_N]
set_property PACKAGE_PIN G17 [get_ports FMC_HPC_LA25_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA25_P]
set_property PACKAGE_PIN A18 [get_ports FMC_HPC_LA26_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA26_N]
set_property PACKAGE_PIN B18 [get_ports FMC_HPC_LA26_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA26_P]
set_property PACKAGE_PIN B19 [get_ports FMC_HPC_LA27_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA27_N]
set_property PACKAGE_PIN C19 [get_ports FMC_HPC_LA27_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA27_P]
www.xilinx.com
KC705 Evaluation Board
UG810 (v1.6.2) August 26, 2015

Hide quick links:

Advertisement

Table of Contents
loading

Table of Contents