Xilinx KC705 User Manual page 93

For the kintex-7 fpga
Hide thumbs Also See for KC705:
Table of Contents

Advertisement

KC705 Evaluation Board
UG810 (v1.6.2) August 26, 2015
set_property PACKAGE_PIN L13 [get_ports FMC_HPC_HA23_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_HA23_N]
set_property PACKAGE_PIN L12 [get_ports FMC_HPC_HA23_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_HA23_P]
#FMC LPC MISC
set_property PACKAGE_PIN J22 [get_ports FMC_LPC_PRSNT_M2C_B_LS]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_PRSNT_M2C_B_LS]
#FMC LPC CLK
set_property PACKAGE_PIN AG23 [get_ports FMC_LPC_CLK0_M2C_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_CLK0_M2C_N]
set_property PACKAGE_PIN AF22 [get_ports FMC_LPC_CLK0_M2C_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_CLK0_M2C_P]
set_property PACKAGE_PIN AH29 [get_ports FMC_LPC_CLK1_M2C_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_CLK1_M2C_N]
set_property PACKAGE_PIN AG29 [get_ports FMC_LPC_CLK1_M2C_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_CLK1_M2C_P]
#FMC LPC GBTCLK
set_property PACKAGE_PIN N7 [get_ports FMC_LPC_GBTCLK0_M2C_C_N]
set_property PACKAGE_PIN N8 [get_ports FMC_LPC_GBTCLK0_M2C_C_P]
#FMC LPC DP
set_property PACKAGE_PIN F1 [get_ports FMC_LPC_DP0_C2M_N]
set_property PACKAGE_PIN F2 [get_ports FMC_LPC_DP0_C2M_P]
set_property PACKAGE_PIN F5 [get_ports FMC_LPC_DP0_M2C_N]
set_property PACKAGE_PIN F6 [get_ports FMC_LPC_DP0_M2C_P]
#FMC LPC LA
set_property PACKAGE_PIN AE24 [get_ports FMC_LPC_LA00_CC_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA00_CC_N]
set_property PACKAGE_PIN AD23 [get_ports FMC_LPC_LA00_CC_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA00_CC_P]
set_property PACKAGE_PIN AF23 [get_ports FMC_LPC_LA01_CC_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA01_CC_N]
set_property PACKAGE_PIN AE23 [get_ports FMC_LPC_LA01_CC_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA01_CC_P]
set_property PACKAGE_PIN AF21 [get_ports FMC_LPC_LA02_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA02_N]
set_property PACKAGE_PIN AF20 [get_ports FMC_LPC_LA02_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA02_P]
set_property PACKAGE_PIN AH20 [get_ports FMC_LPC_LA03_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA03_N]
set_property PACKAGE_PIN AG20 [get_ports FMC_LPC_LA03_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA03_P]
set_property PACKAGE_PIN AJ21 [get_ports FMC_LPC_LA04_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA04_N]
set_property PACKAGE_PIN AH21 [get_ports FMC_LPC_LA04_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA04_P]
set_property PACKAGE_PIN AH22 [get_ports FMC_LPC_LA05_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA05_N]
set_property PACKAGE_PIN AG22 [get_ports FMC_LPC_LA05_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA05_P]
set_property PACKAGE_PIN AK21 [get_ports FMC_LPC_LA06_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA06_N]
set_property PACKAGE_PIN AK20 [get_ports FMC_LPC_LA06_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA06_P]
set_property PACKAGE_PIN AH25 [get_ports FMC_LPC_LA07_N]
www.xilinx.com
KC705 Board XDC Listing
93
Send Feedback

Hide quick links:

Advertisement

Table of Contents
loading

Table of Contents