Xilinx KC705 User Manual page 108

Evaluation board for the kintex-7 fpga
Hide thumbs Also See for KC705:
Table of Contents

Advertisement

#XADC
set_property PACKAGE_PIN AB25 [get_ports XADC_GPIO_0]
set_property IOSTANDARD LVCMOS25 [get_ports XADC_GPIO_0]
set_property PACKAGE_PIN AA25 [get_ports XADC_GPIO_1]
set_property IOSTANDARD LVCMOS25 [get_ports XADC_GPIO_1]
set_property PACKAGE_PIN AB28 [get_ports XADC_GPIO_2]
set_property IOSTANDARD LVCMOS25 [get_ports XADC_GPIO_2]
set_property PACKAGE_PIN AA27 [get_ports XADC_GPIO_3]
set_property IOSTANDARD LVCMOS25 [get_ports XADC_GPIO_3]
set_property PACKAGE_PIN J24 [get_ports XADC_VAUX0N_R]
set_property IOSTANDARD LVCMOS25 [get_ports XADC_VAUX0N_R]
set_property PACKAGE_PIN J23 [get_ports XADC_VAUX0P_R]
set_property IOSTANDARD LVCMOS25 [get_ports XADC_VAUX0P_R]
set_property PACKAGE_PIN L23 [get_ports XADC_VAUX8N_R]
set_property IOSTANDARD LVCMOS25 [get_ports XADC_VAUX8N_R]
set_property PACKAGE_PIN L22 [get_ports XADC_VAUX8P_R]
set_property IOSTANDARD LVCMOS25 [get_ports XADC_VAUX8P_R]
#VADJ ON-OFF
set_property PACKAGE_PIN J27 [get_ports FMC_VADJ_ON_B_LS]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_VADJ_ON_B_LS]
KC705 Evaluation Board
UG810 (v1.8) March 20, 2018
Appendix C: Master Constraints File Listing
www.xilinx.com
108
Send Feedback

Hide quick links:

Advertisement

Table of Contents
loading

Table of Contents