Xilinx KC705 User Manual page 102

Evaluation board for the kintex-7 fpga
Hide thumbs Also See for KC705:
Table of Contents

Advertisement

set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA21_N]
set_property PACKAGE_PIN AG27 [get_ports FMC_LPC_LA21_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA21_P]
set_property PACKAGE_PIN AK28 [get_ports FMC_LPC_LA22_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA22_N]
set_property PACKAGE_PIN AJ27 [get_ports FMC_LPC_LA22_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA22_P]
set_property PACKAGE_PIN AH27 [get_ports FMC_LPC_LA23_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA23_N]
set_property PACKAGE_PIN AH26 [get_ports FMC_LPC_LA23_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA23_P]
set_property PACKAGE_PIN AH30 [get_ports FMC_LPC_LA24_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA24_N]
set_property PACKAGE_PIN AG30 [get_ports FMC_LPC_LA24_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA24_P]
set_property PACKAGE_PIN AD26 [get_ports FMC_LPC_LA25_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA25_N]
set_property PACKAGE_PIN AC26 [get_ports FMC_LPC_LA25_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA25_P]
set_property PACKAGE_PIN AK30 [get_ports FMC_LPC_LA26_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA26_N]
set_property PACKAGE_PIN AK29 [get_ports FMC_LPC_LA26_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA26_P]
set_property PACKAGE_PIN AJ29 [get_ports FMC_LPC_LA27_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA27_N]
set_property PACKAGE_PIN AJ28 [get_ports FMC_LPC_LA27_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA27_P]
set_property PACKAGE_PIN AF30 [get_ports FMC_LPC_LA28_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA28_N]
set_property PACKAGE_PIN AE30 [get_ports FMC_LPC_LA28_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA28_P]
set_property PACKAGE_PIN AF28 [get_ports FMC_LPC_LA29_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA29_N]
set_property PACKAGE_PIN AE28 [get_ports FMC_LPC_LA29_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA29_P]
set_property PACKAGE_PIN AB30 [get_ports FMC_LPC_LA30_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA30_N]
set_property PACKAGE_PIN AB29 [get_ports FMC_LPC_LA30_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA30_P]
set_property PACKAGE_PIN AE29 [get_ports FMC_LPC_LA31_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA31_N]
set_property PACKAGE_PIN AD29 [get_ports FMC_LPC_LA31_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA31_P]
set_property PACKAGE_PIN AA30 [get_ports FMC_LPC_LA32_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA32_N]
set_property PACKAGE_PIN Y30 [get_ports FMC_LPC_LA32_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA32_P]
set_property PACKAGE_PIN AC30 [get_ports FMC_LPC_LA33_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA33_N]
set_property PACKAGE_PIN AC29 [get_ports FMC_LPC_LA33_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA33_P]
#GPIO
#GPIO DIP SW
set_property PACKAGE_PIN Y29 [get_ports GPIO_DIP_SW0]
set_property IOSTANDARD LVCMOS25 [get_ports GPIO_DIP_SW0]
set_property PACKAGE_PIN W29 [get_ports GPIO_DIP_SW1]
set_property IOSTANDARD LVCMOS25 [get_ports GPIO_DIP_SW1]
set_property PACKAGE_PIN AA28 [get_ports GPIO_DIP_SW2]
KC705 Evaluation Board
UG810 (v1.8) March 20, 2018
Appendix C: Master Constraints File Listing
www.xilinx.com
102
Send Feedback

Hide quick links:

Advertisement

Table of Contents
loading

Table of Contents