Xilinx VC709 User Manual page 73

For the virtex-7 fpga
Hide thumbs Also See for VC709:
Table of Contents

Advertisement

VC709 Evaluation Board
UG887 (v1.2.1) March 11, 2014
set_property IOSTANDARD LVDS [get_ports USER_SMA_CLOCK_N]
set_property PACKAGE_PIN AL32 [get_ports FMC_C2M_PG_LS]
set_property IOSTANDARD LVCMOS18 [get_ports FMC_C2M_PG_LS]
set_property PACKAGE_PIN AM34 [get_ports FLASH_WAIT]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_WAIT]
set_property PACKAGE_PIN AN34 [get_ports FMC1_HPC_PG_M2C_LS]
set_property IOSTANDARD LVCMOS18 [get_ports FMC1_HPC_PG_M2C_LS]
set_property PACKAGE_PIN AM31 [get_ports FMC1_HPC_PRSNT_M2C_B_LS]
set_property IOSTANDARD LVCMOS18 [get_ports FMC1_HPC_PRSNT_M2C_B_LS]
set_property PACKAGE_PIN AM32 [get_ports FLASH_A15]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A15]
set_property PACKAGE_PIN AM33 [get_ports FLASH_A14]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A14]
set_property PACKAGE_PIN AN33 [get_ports FLASH_A13]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A13]
set_property PACKAGE_PIN AL29 [get_ports FLASH_A12]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A12]
set_property PACKAGE_PIN AL30 [get_ports FLASH_A11]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A11]
set_property PACKAGE_PIN AH29 [get_ports FLASH_A10]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A10]
set_property PACKAGE_PIN AH30 [get_ports FLASH_A9]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A9]
set_property PACKAGE_PIN AJ30 [get_ports FLASH_A8]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A8]
set_property PACKAGE_PIN AK30 [get_ports FLASH_A7]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A7]
set_property PACKAGE_PIN AG29 [get_ports FLASH_A6]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A6]
set_property PACKAGE_PIN AK28 [get_ports FLASH_A5]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A5]
set_property PACKAGE_PIN AK29 [get_ports FLASH_A4]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A4]
set_property PACKAGE_PIN AF30 [get_ports FLASH_A3]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A3]
set_property PACKAGE_PIN AG31 [get_ports FLASH_A2]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A2]
set_property PACKAGE_PIN AH28 [get_ports FLASH_A1]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A1]
set_property PACKAGE_PIN AJ28 [get_ports FLASH_A0]
set_property IOSTANDARD LVCMOS18 [get_ports FLASH_A0]
set_property PACKAGE_PIN AN38 [get_ports XADC_VAUX0P_R]
set_property IOSTANDARD LVCMOS18 [get_ports XADC_VAUX0P_R]
set_property PACKAGE_PIN AP38 [get_ports XADC_VAUX0N_R]
set_property IOSTANDARD LVCMOS18 [get_ports XADC_VAUX0N_R]
set_property PACKAGE_PIN AM41 [get_ports XADC_VAUX8P_R]
set_property IOSTANDARD LVCMOS18 [get_ports XADC_VAUX8P_R]
set_property PACKAGE_PIN AM42 [get_ports XADC_VAUX8N_R]
set_property IOSTANDARD LVCMOS18 [get_ports XADC_VAUX8N_R]
set_property PACKAGE_PIN AR38 [get_ports XADC_GPIO_0]
set_property IOSTANDARD LVCMOS18 [get_ports XADC_GPIO_0]
set_property PACKAGE_PIN AR39 [get_ports XADC_GPIO_1]
set_property IOSTANDARD LVCMOS18 [get_ports XADC_GPIO_1]
set_property PACKAGE_PIN AN40 [get_ports XADC_GPIO_2]
set_property IOSTANDARD LVCMOS18 [get_ports XADC_GPIO_2]
set_property PACKAGE_PIN AN41 [get_ports XADC_GPIO_3]
set_property IOSTANDARD LVCMOS18 [get_ports XADC_GPIO_3]
set_property PACKAGE_PIN AR37 [get_ports GPIO_LED_2_LS]
set_property IOSTANDARD LVCMOS18 [get_ports GPIO_LED_2_LS]
www.xilinx.com
VC709 Board XDC Listing
73

Advertisement

Table of Contents
loading

Table of Contents