Analog Devices ADSP-21065L EZ-KIT Lite Manual page 74

Evaluation system
Table of Contents

Advertisement

when WAIT5 =>
w_ack <= '0';
w_ack_v <= '1';
next_wstate <= WAIT6;
when WAIT6 =>
w_ack <= '1';
w_ack_v <= '1';
next_wstate <= WAIT0;
end case;
end process wait_state;
-- *************************************************
--
Codec Reset
-- *************************************************
cdc_rst: process(clk, addr, cs_bar, reset)
variable cdc_cnt: std_logic_vector(4 downto 0);
begin
if (reset = '1') then
cdc_cnt := (others => '0');
codec_rst_bar <= '0';
elsif (rising_edge(clk)) then
if (cdc_cnt = "00000") then
if ((addr = "0100") AND (cs_bar = '0')) then -- check if reset
cdc_cnt := cdc_cnt + 1;
codec_rst_bar <= '0';
else
codec_rst_bar <= '1';
end if;
else
cdc_cnt := cdc_cnt + 1;
codec_rst_bar <= '0';
end if;
end if;
end process cdc_rst;
end;
To use the CODEC controls, reference the buffer.asm and .ldf files provided with the demos. These files
provide access to the necessary variables by overlapping the locations of the variables.
www.BDTIC.com/ADI
-- Continue Delay
-- Release Delay
-- If reset
-- reset counter
-- pass reset to codec
-- otherwise (key on rising edge)
--
if counter hasn't started
-- Start counter
-- Reset codec
-- if not reset
-- hold reset high
-- if counter has started
-- increment counter
-- Reset codec
74

Advertisement

Table of Contents
loading

Table of Contents