Xilinx VCU1287 User Manual page 73

Characterization board
Hide thumbs Also See for VCU1287:
Table of Contents

Advertisement

set_property IOSTANDARD
set_property PACKAGE_PIN H33
set_property IOSTANDARD
set_property PACKAGE_PIN G26
set_property IOSTANDARD
set_property PACKAGE_PIN G27
set_property IOSTANDARD
#SWITCHES
set_property PACKAGE_PIN AP13
set_property IOSTANDARD
set_property PACKAGE_PIN AU16
set_property IOSTANDARD
set_property PACKAGE_PIN AU14
set_property IOSTANDARD
set_property PACKAGE_PIN AV14
set_property IOSTANDARD
set_property PACKAGE_PIN AR13
set_property IOSTANDARD
set_property PACKAGE_PIN AV16
set_property IOSTANDARD
set_property PACKAGE_PIN AW16
set_property IOSTANDARD
set_property PACKAGE_PIN AW15
set_property IOSTANDARD
#PUSH BUTTONS
set_property PACKAGE_PIN AN14
set_property IOSTANDARD
set_property PACKAGE_PIN AM14
set_property IOSTANDARD
#LEDs
set_property PACKAGE_PIN BB14
set_property IOSTANDARD
set_property PACKAGE_PIN BB15
set_property IOSTANDARD
set_property PACKAGE_PIN BC14
set_property IOSTANDARD
set_property PACKAGE_PIN BD13
set_property IOSTANDARD
set_property PACKAGE_PIN BE13
set_property IOSTANDARD
set_property PACKAGE_PIN BE15
set_property IOSTANDARD
set_property PACKAGE_PIN BF15
set_property IOSTANDARD
set_property PACKAGE_PIN BD14
set_property IOSTANDARD
#SMAs
set_property PACKAGE_PIN L32
set_property IOSTANDARD
set_property PACKAGE_PIN K32
set_property IOSTANDARD
set_property PACKAGE_PIN M31
set_property IOSTANDARD
set_property PACKAGE_PIN M32
set_property IOSTANDARD
VCU1287 Characterization Board
UG1121 (v1.0) December 11, 2015
Appendix Appendix C:
LVCMOS18 [get_ports "CM_LVDS2_P"]
[get_ports "CM_LVDS2_N"]
LVCMOS18 [get_ports "CM_LVDS2_N"]
[get_ports "CM_GCLK_P"]
LVCMOS18 [get_ports "CM_GCLK_P"]
[get_ports "CM_GCLK_N"]
LVCMOS18 [get_ports "CM_GCLK_N"]
[get_ports "USER_SW1"]
LVCMOS18 [get_ports "USER_SW1"]
[get_ports "USER_SW2"]
LVCMOS18 [get_ports "USER_SW2"]
[get_ports "USER_SW3"]
LVCMOS18 [get_ports "USER_SW3"]
[get_ports "USER_SW4"]
LVCMOS18 [get_ports "USER_SW4"]
[get_ports "USER_SW5"]
LVCMOS18 [get_ports "USER_SW5"]
[get_ports "USER_SW6"]
LVCMOS18 [get_ports "USER_SW6"]
[get_ports "USER_SW7"]
LVCMOS18 [get_ports "USER_SW7"]
[get_ports "USER_SW8"]
LVCMOS18 [get_ports "USER_SW8"]
[get_ports "USER_PB1"]
LVCMOS18 [get_ports "USER_PB1"]
[get_ports "USER_PB2"]
LVCMOS18 [get_ports "USER_PB2"]
[get_ports "APP_LED1"]
LVCMOS18 [get_ports "APP_LED1"]
[get_ports "APP_LED2"]
LVCMOS18 [get_ports "APP_LED2"]
[get_ports "APP_LED3"]
LVCMOS18 [get_ports "APP_LED3"]
[get_ports "APP_LED4"]
LVCMOS18 [get_ports "APP_LED4"]
[get_ports "APP_LED5"]
LVCMOS18 [get_ports "APP_LED5"]
[get_ports "APP_LED6"]
LVCMOS18 [get_ports "APP_LED6"]
[get_ports "APP_LED7"]
LVCMOS18 [get_ports "APP_LED7"]
[get_ports "APP_LED8"]
LVCMOS18 [get_ports "APP_LED8"]
[get_ports "CLK_DIFF_1_P"]
LVDS
[get_ports "CLK_DIFF_1_P"]
[get_ports "CLK_DIFF_1_N"]
LVDS
[get_ports "CLK_DIFF_1_N"]
[get_ports "CLK_DIFF_2_P"]
LVDS
[get_ports "CLK_DIFF_2_P"]
[get_ports "CLK_DIFF_2_N"]
LVDS
[get_ports "CLK_DIFF_2_N"]
www.xilinx.com
Master Constraints File Listing
Send Feedback
73

Advertisement

Table of Contents
loading

Table of Contents