Xilinx ZC702 User Manual page 71

For the zynq-7000 xc7z020 all programmable soc
Hide thumbs Also See for ZC702:
Table of Contents

Advertisement

set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA24_N]
set_property PACKAGE_PIN U6 [get_ports FMC2_LPC_LA24_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA24_P]
set_property PACKAGE_PIN AB12 [get_ports FMC2_LPC_LA25_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA25_N]
set_property PACKAGE_PIN AA12 [get_ports FMC2_LPC_LA25_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA25_P]
set_property PACKAGE_PIN U11 [get_ports FMC2_LPC_LA26_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA26_N]
set_property PACKAGE_PIN U12 [get_ports FMC2_LPC_LA26_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA26_P]
set_property PACKAGE_PIN AB1 [get_ports FMC2_LPC_LA27_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA27_N]
set_property PACKAGE_PIN AB2 [get_ports FMC2_LPC_LA27_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA27_P]
set_property PACKAGE_PIN AB4 [get_ports FMC2_LPC_LA28_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA28_N]
set_property PACKAGE_PIN AB5 [get_ports FMC2_LPC_LA28_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA28_P]
set_property PACKAGE_PIN AB11 [get_ports FMC2_LPC_LA29_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA29_N]
set_property PACKAGE_PIN AA11 [get_ports FMC2_LPC_LA29_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA29_P]
set_property PACKAGE_PIN AB6 [get_ports FMC2_LPC_LA30_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA30_N]
set_property PACKAGE_PIN AB7 [get_ports FMC2_LPC_LA30_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA30_P]
set_property PACKAGE_PIN AB9 [get_ports FMC2_LPC_LA31_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA31_N]
set_property PACKAGE_PIN AB10 [get_ports FMC2_LPC_LA31_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA31_P]
set_property PACKAGE_PIN AA4 [get_ports FMC2_LPC_LA32_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA32_N]
set_property PACKAGE_PIN Y4 [get_ports FMC2_LPC_LA32_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA32_P]
set_property PACKAGE_PIN Y10 [get_ports FMC2_LPC_LA33_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA33_N]
set_property PACKAGE_PIN Y11 [get_ports FMC2_LPC_LA33_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA33_P]
set_property PACKAGE_PIN W6 [get_ports GPIO_DIP_SW0]
set_property IOSTANDARD LVCMOS25 [get_ports GPIO_DIP_SW0]
set_property PACKAGE_PIN W7 [get_ports GPIO_DIP_SW1]
set_property IOSTANDARD LVCMOS25 [get_ports GPIO_DIP_SW1]
set_property PACKAGE_PIN G19 [get_ports GPIO_SW_N]
set_property IOSTANDARD LVCMOS25 [get_ports GPIO_SW_N]
set_property PACKAGE_PIN F19 [get_ports GPIO_SW_S]
set_property IOSTANDARD LVCMOS25 [get_ports GPIO_SW_S]
set_property PACKAGE_PIN U14 [get_ports HDMI_INT]
set_property IOSTANDARD LVCMOS25 [get_ports HDMI_INT]
set_property PACKAGE_PIN K16 [get_ports 6N1412]
set_property IOSTANDARD LVCMOS25 [get_ports 6N1412]
set_property PACKAGE_PIN L16 [get_ports HDMI_R_CLK]
set_property IOSTANDARD LVCMOS25 [get_ports HDMI_R_CLK]
set_property PACKAGE_PIN AB21 [get_ports HDMI_R_D0]
set_property IOSTANDARD LVCMOS25 [get_ports HDMI_R_D0]
set_property PACKAGE_PIN AA21 [get_ports HDMI_R_D1]
set_property IOSTANDARD LVCMOS25 [get_ports HDMI_R_D1]
set_property PACKAGE_PIN AB22 [get_ports HDMI_R_D2]
set_property IOSTANDARD LVCMOS25 [get_ports HDMI_R_D2]
ZC702 Board User Guide
UG850 (v1.3) June 4, 2014
www.xilinx.com
ZC702 Board Constraints File Listing
Send Feedback
71

Advertisement

Table of Contents
loading

Table of Contents