Xilinx ZC702 User Manual page 67

For the zynq-7000 xc7z020 all programmable soc
Hide thumbs Also See for ZC702:
Table of Contents

Advertisement

set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA01_CC_N]
set_property PACKAGE_PIN N19 [get_ports FMC1_LPC_LA01_CC_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA01_CC_P]
set_property PACKAGE_PIN L22 [get_ports FMC1_LPC_LA02_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA02_N]
set_property PACKAGE_PIN L21 [get_ports FMC1_LPC_LA02_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA02_P]
set_property PACKAGE_PIN K21 [get_ports FMC1_LPC_LA03_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA03_N]
set_property PACKAGE_PIN J20 [get_ports FMC1_LPC_LA03_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA03_P]
set_property PACKAGE_PIN M22 [get_ports FMC1_LPC_LA04_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA04_N]
set_property PACKAGE_PIN M21 [get_ports FMC1_LPC_LA04_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA04_P]
set_property PACKAGE_PIN N18 [get_ports FMC1_LPC_LA05_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA05_N]
set_property PACKAGE_PIN N17 [get_ports FMC1_LPC_LA05_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA05_P]
set_property PACKAGE_PIN K18 [get_ports FMC1_LPC_LA06_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA06_N]
set_property PACKAGE_PIN J18 [get_ports FMC1_LPC_LA06_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA06_P]
set_property PACKAGE_PIN K15 [get_ports FMC1_LPC_LA07_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA07_N]
set_property PACKAGE_PIN J15 [get_ports FMC1_LPC_LA07_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA07_P]
set_property PACKAGE_PIN J22 [get_ports FMC1_LPC_LA08_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA08_N]
set_property PACKAGE_PIN J21 [get_ports FMC1_LPC_LA08_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA08_P]
set_property PACKAGE_PIN M16 [get_ports FMC1_LPC_LA09_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA09_N]
set_property PACKAGE_PIN M15 [get_ports FMC1_LPC_LA09_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA09_P]
set_property PACKAGE_PIN M17 [get_ports FMC1_LPC_LA10_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA10_N]
set_property PACKAGE_PIN L17 [get_ports FMC1_LPC_LA10_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA10_P]
set_property PACKAGE_PIN R21 [get_ports FMC1_LPC_LA11_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA11_N]
set_property PACKAGE_PIN R20 [get_ports FMC1_LPC_LA11_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA11_P]
set_property PACKAGE_PIN P22 [get_ports FMC1_LPC_LA12_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA12_N]
set_property PACKAGE_PIN N22 [get_ports FMC1_LPC_LA12_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA12_P]
set_property PACKAGE_PIN R16 [get_ports FMC1_LPC_LA13_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA13_N]
set_property PACKAGE_PIN P16 [get_ports FMC1_LPC_LA13_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA13_P]
set_property PACKAGE_PIN J17 [get_ports FMC1_LPC_LA14_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA14_N]
set_property PACKAGE_PIN J16 [get_ports FMC1_LPC_LA14_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA14_P]
set_property PACKAGE_PIN P21 [get_ports FMC1_LPC_LA15_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA15_N]
set_property PACKAGE_PIN P20 [get_ports FMC1_LPC_LA15_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA15_P]
ZC702 Board User Guide
UG850 (v1.3) June 4, 2014
www.xilinx.com
ZC702 Board Constraints File Listing
Send Feedback
67

Advertisement

Table of Contents
loading

Table of Contents