Xilinx ZC702 User Manual page 68

For the zynq-7000 xc7z020 all programmable soc
Hide thumbs Also See for ZC702:
Table of Contents

Advertisement

set_property PACKAGE_PIN P15 [get_ports FMC1_LPC_LA16_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA16_N]
set_property PACKAGE_PIN N15 [get_ports FMC1_LPC_LA16_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA16_P]
set_property PACKAGE_PIN B20 [get_ports FMC1_LPC_LA17_CC_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA17_CC_N]
set_property PACKAGE_PIN B19 [get_ports FMC1_LPC_LA17_CC_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA17_CC_P]
set_property PACKAGE_PIN C20 [get_ports FMC1_LPC_LA18_CC_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA18_CC_N]
set_property PACKAGE_PIN D20 [get_ports FMC1_LPC_LA18_CC_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA18_CC_P]
set_property PACKAGE_PIN E20 [get_ports FMC1_LPC_LA19_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA19_N]
set_property PACKAGE_PIN E19 [get_ports FMC1_LPC_LA19_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA19_P]
set_property PACKAGE_PIN G21 [get_ports FMC1_LPC_LA20_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA20_N]
set_property PACKAGE_PIN G20 [get_ports FMC1_LPC_LA20_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA20_P]
set_property PACKAGE_PIN F22 [get_ports FMC1_LPC_LA21_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA21_N]
set_property PACKAGE_PIN F21 [get_ports FMC1_LPC_LA21_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA21_P]
set_property PACKAGE_PIN F17 [get_ports FMC1_LPC_LA22_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA22_N]
set_property PACKAGE_PIN G17 [get_ports FMC1_LPC_LA22_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA22_P]
set_property PACKAGE_PIN G16 [get_ports FMC1_LPC_LA23_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA23_N]
set_property PACKAGE_PIN G15 [get_ports FMC1_LPC_LA23_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA23_P]
set_property PACKAGE_PIN A22 [get_ports FMC1_LPC_LA24_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA24_N]
set_property PACKAGE_PIN A21 [get_ports FMC1_LPC_LA24_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA24_P]
set_property PACKAGE_PIN B15 [get_ports FMC1_LPC_LA25_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA25_N]
set_property PACKAGE_PIN C15 [get_ports FMC1_LPC_LA25_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA25_P]
set_property PACKAGE_PIN E18 [get_ports FMC1_LPC_LA26_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA26_N]
set_property PACKAGE_PIN F18 [get_ports FMC1_LPC_LA26_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA26_P]
set_property PACKAGE_PIN C18 [get_ports FMC1_LPC_LA27_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA27_N]
set_property PACKAGE_PIN C17 [get_ports FMC1_LPC_LA27_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA27_P]
set_property PACKAGE_PIN C22 [get_ports FMC1_LPC_LA28_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA28_N]
set_property PACKAGE_PIN D22 [get_ports FMC1_LPC_LA28_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA28_P]
set_property PACKAGE_PIN B17 [get_ports FMC1_LPC_LA29_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA29_N]
set_property PACKAGE_PIN B16 [get_ports FMC1_LPC_LA29_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA29_P]
set_property PACKAGE_PIN D21 [get_ports FMC1_LPC_LA30_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA30_N]
set_property PACKAGE_PIN E21 [get_ports FMC1_LPC_LA30_P]
ZC702 Board User Guide
UG850 (v1.3) June 4, 2014
www.xilinx.com
ZC702 Board Constraints File Listing
Send Feedback
68

Advertisement

Table of Contents
loading

Table of Contents