Xilinx ZC702 User Manual page 70

For the zynq-7000 xc7z020 all programmable soc
Hide thumbs Also See for ZC702:
Table of Contents

Advertisement

set_property PACKAGE_PIN U15 [get_ports FMC2_LPC_LA09_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA09_P]
set_property PACKAGE_PIN Y21 [get_ports FMC2_LPC_LA10_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA10_N]
set_property PACKAGE_PIN Y20 [get_ports FMC2_LPC_LA10_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA10_P]
set_property PACKAGE_PIN AA14 [get_ports FMC2_LPC_LA11_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA11_N]
set_property PACKAGE_PIN Y14 [get_ports FMC2_LPC_LA11_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA11_P]
set_property PACKAGE_PIN Y15 [get_ports FMC2_LPC_LA12_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA12_N]
set_property PACKAGE_PIN W15 [get_ports FMC2_LPC_LA12_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA12_P]
set_property PACKAGE_PIN W22 [get_ports FMC2_LPC_LA13_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA13_N]
set_property PACKAGE_PIN V22 [get_ports FMC2_LPC_LA13_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA13_P]
set_property PACKAGE_PIN U22 [get_ports FMC2_LPC_LA14_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA14_N]
set_property PACKAGE_PIN T22 [get_ports FMC2_LPC_LA14_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA14_P]
set_property PACKAGE_PIN AA13 [get_ports FMC2_LPC_LA15_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA15_N]
set_property PACKAGE_PIN Y13 [get_ports FMC2_LPC_LA15_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA15_P]
set_property PACKAGE_PIN AB15 [get_ports FMC2_LPC_LA16_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA16_N]
set_property PACKAGE_PIN AB14 [get_ports FMC2_LPC_LA16_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA16_P]
set_property PACKAGE_PIN AA6 [get_ports FMC2_LPC_LA17_CC_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA17_CC_N]
set_property PACKAGE_PIN AA7 [get_ports FMC2_LPC_LA17_CC_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA17_CC_P]
set_property PACKAGE_PIN AA8 [get_ports FMC2_LPC_LA18_CC_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA18_CC_N]
set_property PACKAGE_PIN AA9 [get_ports FMC2_LPC_LA18_CC_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA18_CC_P]
set_property PACKAGE_PIN T6 [get_ports FMC2_LPC_LA19_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA19_N]
set_property PACKAGE_PIN R6 [get_ports FMC2_LPC_LA19_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA19_P]
set_property PACKAGE_PIN U4 [get_ports FMC2_LPC_LA20_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA20_N]
set_property PACKAGE_PIN T4 [get_ports FMC2_LPC_LA20_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA20_P]
set_property PACKAGE_PIN V4 [get_ports FMC2_LPC_LA21_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA21_N]
set_property PACKAGE_PIN V5 [get_ports FMC2_LPC_LA21_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA21_P]
set_property PACKAGE_PIN U9 [get_ports FMC2_LPC_LA22_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA22_N]
set_property PACKAGE_PIN U10 [get_ports FMC2_LPC_LA22_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA22_P]
set_property PACKAGE_PIN W12 [get_ports FMC2_LPC_LA23_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA23_N]
set_property PACKAGE_PIN V12 [get_ports FMC2_LPC_LA23_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA23_P]
set_property PACKAGE_PIN U5 [get_ports FMC2_LPC_LA24_N]
ZC702 Board User Guide
UG850 (v1.3) June 4, 2014
www.xilinx.com
ZC702 Board Constraints File Listing
Send Feedback
70

Advertisement

Table of Contents
loading

Table of Contents