Xilinx ZC702 User Manual page 69

For the zynq-7000 xc7z020 all programmable soc
Hide thumbs Also See for ZC702:
Table of Contents

Advertisement

set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA30_P]
set_property PACKAGE_PIN A17 [get_ports FMC1_LPC_LA31_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA31_N]
set_property PACKAGE_PIN A16 [get_ports FMC1_LPC_LA31_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA31_P]
set_property PACKAGE_PIN B22 [get_ports FMC1_LPC_LA32_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA32_N]
set_property PACKAGE_PIN B21 [get_ports FMC1_LPC_LA32_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA32_P]
set_property PACKAGE_PIN A19 [get_ports FMC1_LPC_LA33_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA33_N]
set_property PACKAGE_PIN A18 [get_ports FMC1_LPC_LA33_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC1_LPC_LA33_P]
set_property PACKAGE_PIN AA18 [get_ports FMC2_LPC_CLK0_M2C_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_CLK0_M2C_N]
set_property PACKAGE_PIN Y18 [get_ports FMC2_LPC_CLK0_M2C_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_CLK0_M2C_P]
set_property PACKAGE_PIN Y5 [get_ports FMC2_LPC_CLK1_M2C_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_CLK1_M2C_N]
set_property PACKAGE_PIN Y6 [get_ports FMC2_LPC_CLK1_M2C_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_CLK1_M2C_P]
set_property PACKAGE_PIN AA19 [get_ports FMC2_LPC_LA00_CC_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA00_CC_N]
set_property PACKAGE_PIN Y19 [get_ports FMC2_LPC_LA00_CC_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA00_CC_P]
set_property PACKAGE_PIN Y16 [get_ports FMC2_LPC_LA01_CC_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA01_CC_N]
set_property PACKAGE_PIN W16 [get_ports FMC2_LPC_LA01_CC_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA01_CC_P]
set_property PACKAGE_PIN V15 [get_ports FMC2_LPC_LA02_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA02_N]
set_property PACKAGE_PIN V14 [get_ports FMC2_LPC_LA02_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA02_P]
set_property PACKAGE_PIN AB16 [get_ports FMC2_LPC_LA03_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA03_N]
set_property PACKAGE_PIN AA16 [get_ports FMC2_LPC_LA03_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA03_P]
set_property PACKAGE_PIN W13 [get_ports FMC2_LPC_LA04_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA04_N]
set_property PACKAGE_PIN V13 [get_ports FMC2_LPC_LA04_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA04_P]
set_property PACKAGE_PIN AB20 [get_ports FMC2_LPC_LA05_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA05_N]
set_property PACKAGE_PIN AB19 [get_ports FMC2_LPC_LA05_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA05_P]
set_property PACKAGE_PIN V17 [get_ports FMC2_LPC_LA06_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA06_N]
set_property PACKAGE_PIN U17 [get_ports FMC2_LPC_LA06_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA06_P]
set_property PACKAGE_PIN U21 [get_ports FMC2_LPC_LA07_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA07_N]
set_property PACKAGE_PIN T21 [get_ports FMC2_LPC_LA07_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA07_P]
set_property PACKAGE_PIN AB17 [get_ports FMC2_LPC_LA08_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA08_N]
set_property PACKAGE_PIN AA17 [get_ports FMC2_LPC_LA08_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA08_P]
set_property PACKAGE_PIN U16 [get_ports FMC2_LPC_LA09_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC2_LPC_LA09_N]
ZC702 Board User Guide
UG850 (v1.3) June 4, 2014
www.xilinx.com
ZC702 Board Constraints File Listing
Send Feedback
69

Advertisement

Table of Contents
loading

Table of Contents