Unused Transceiver Rx Channels - Intel Arria 10 User Manual

Transceiver phy
Hide thumbs Also See for Arria 10:
Table of Contents

Advertisement

2. Implementing Protocols in Arria 10 Transceivers
UG-01143 | 2018.06.15
<your_ip_name> .bsf
<project_dir>/<your_ip_name>/
<project_dir>/sim
<project_dir>/sim/aldec
<project_dir>/sim/cadence
<project_dir>/sim/mentor
<project_dir>/sim/synopsys
<project_dir>/synth
The Verilog and VHDL Transceiver Native PHY IP cores have been tested with the
following simulators:
ModelSim SE
Synopsys VCS MX
Cadence NCSim
If you select VHDL for your transceiver PHY, only the wrapper generated by the
Quartus Prime software is in VHDL. All the underlying files are written in Verilog or
SystemVerilog. To enable simulation using a VHDL-only ModelSim license, the
underlying Verilog and SystemVerilog files for the Transceiver Native PHY IP are
encrypted so that they can be used with the top-level VHDL wrapper without using a
mixed-language simulator.
For more information about simulating with ModelSim, refer to the Mentor Graphics
ModelSim and QuestaSim Support chapter in volume 3 of the Quartus Prime
Handbook.
The Transceiver Native PHY IP cores do not support the NativeLink feature in the
Quartus Prime software.
Related Information
Simulating the Transceiver Native PHY IP Core
Mentor Graphics ModelSim and QuestaSim Support

2.4.12. Unused Transceiver RX Channels

To prevent performance degradation of unused transceiver RX channels over time, the
following assignments must be added to an Arria 10 device QSF. You can either use a
global assignment or per-pin assignments.
set_global_assignment -name PRESERVE_UNUSED_XCVR_CHANNEL ON
or
set_instance_assignment -name PRESERVE_UNUSED_XCVR_CHANNEL ON -to
pin_name
File Name
Description
A Block Symbol File (.bsf) for your Transceiver Native PHY
instance.
The directory that stores the HDL files that define the
Transceiver Native PHY IP.
The simulation directory.
Simulation files for Riviera-PRO simulation tools.
Simulation files for Cadence simulation tools.
Simulation files for Mentor simulation tools.
Simulation files for Synopsys simulation tools.
The directory that stores files used for synthesis.
on page 325
®
®
Intel
Arria
10 Transceiver PHY User Guide
93

Hide quick links:

Advertisement

Table of Contents
loading

Table of Contents