Xilinx KC705 User Manual page 84

Evaluation board for the kintex-7 fpga
Hide thumbs Also See for KC705:
Table of Contents

Advertisement

Appendix C: Master Board Constraints
set_property PACKAGE_PIN L18 [get_ports HDMI_R_D15]
set_property IOSTANDARD LVCMOS25 [get_ports HDMI_R_D15]
set_property PACKAGE_PIN K19 [get_ports HDMI_R_D16]
set_property IOSTANDARD LVCMOS25 [get_ports HDMI_R_D16]
set_property PACKAGE_PIN K20 [get_ports HDMI_R_D17]
set_property IOSTANDARD LVCMOS25 [get_ports HDMI_R_D17]
set_property PACKAGE_PIN H21 [get_ports FMC_HPC_LA33_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA33_P]
set_property PACKAGE_PIN H22 [get_ports FMC_HPC_LA33_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA33_N]
set_property PACKAGE_PIN D21 [get_ports FMC_HPC_LA32_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA32_P]
set_property PACKAGE_PIN C21 [get_ports FMC_HPC_LA32_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA32_N]
set_property PACKAGE_PIN G22 [get_ports FMC_HPC_LA31_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA31_P]
set_property PACKAGE_PIN F22 [get_ports FMC_HPC_LA31_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA31_N]
set_property PACKAGE_PIN D22 [get_ports FMC_HPC_LA30_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA30_P]
set_property PACKAGE_PIN C22 [get_ports FMC_HPC_LA30_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA30_N]
set_property PACKAGE_PIN F21 [get_ports FMC_HPC_LA18_CC_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA18_CC_P]
set_property PACKAGE_PIN E21 [get_ports FMC_HPC_LA18_CC_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA18_CC_N]
set_property PACKAGE_PIN F20 [get_ports FMC_HPC_LA17_CC_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA17_CC_P]
set_property PACKAGE_PIN E20 [get_ports FMC_HPC_LA17_CC_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA17_CC_N]
set_property PACKAGE_PIN D17 [get_ports FMC_HPC_CLK1_M2C_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_CLK1_M2C_P]
set_property PACKAGE_PIN D18 [get_ports FMC_HPC_CLK1_M2C_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_CLK1_M2C_N]
set_property PACKAGE_PIN E19 [get_ports FMC_HPC_LA20_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA20_P]
set_property PACKAGE_PIN D19 [get_ports FMC_HPC_LA20_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA20_N]
set_property PACKAGE_PIN D16 [get_ports FMC_HPC_LA28_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA28_P]
set_property PACKAGE_PIN C16 [get_ports FMC_HPC_LA28_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA28_N]
set_property PACKAGE_PIN G18 [get_ports FMC_HPC_LA19_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA19_P]
set_property PACKAGE_PIN F18 [get_ports FMC_HPC_LA19_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA19_N]
set_property PACKAGE_PIN C17 [get_ports FMC_HPC_LA29_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA29_P]
set_property PACKAGE_PIN B17 [get_ports FMC_HPC_LA29_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA29_N]
set_property PACKAGE_PIN G17 [get_ports FMC_HPC_LA25_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA25_P]
set_property PACKAGE_PIN F17 [get_ports FMC_HPC_LA25_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA25_N]
set_property PACKAGE_PIN C20 [get_ports FMC_HPC_LA22_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA22_P]
set_property PACKAGE_PIN B20 [get_ports FMC_HPC_LA22_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA22_N]
set_property PACKAGE_PIN A16 [get_ports FMC_HPC_LA24_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA24_P]
set_property PACKAGE_PIN A17 [get_ports FMC_HPC_LA24_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA24_N]
set_property PACKAGE_PIN A20 [get_ports FMC_HPC_LA21_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA21_P]
set_property PACKAGE_PIN A21 [get_ports FMC_HPC_LA21_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA21_N]
set_property PACKAGE_PIN B18 [get_ports FMC_HPC_LA26_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA26_P]
set_property PACKAGE_PIN A18 [get_ports FMC_HPC_LA26_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA26_N]
set_property PACKAGE_PIN B22 [get_ports FMC_HPC_LA23_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA23_P]
set_property PACKAGE_PIN A22 [get_ports FMC_HPC_LA23_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA23_N]
set_property PACKAGE_PIN C19 [get_ports FMC_HPC_LA27_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA27_P]
set_property PACKAGE_PIN B19 [get_ports FMC_HPC_LA27_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA27_N]
set_property PACKAGE_PIN E18 [get_ports GPIO_LED_6_LS]
set_property IOSTANDARD LVCMOS25 [get_ports GPIO_LED_6_LS]
set_property PACKAGE_PIN G12 [get_ports GPIO_SW_C]
84
www.xilinx.com
KC705 Evaluation Board
UG810 (v1.4) July 18, 2013

Advertisement

Table of Contents
loading

Table of Contents