Xilinx KC705 User Manual page 78

Evaluation board for the kintex-7 fpga
Hide thumbs Also See for KC705:
Table of Contents

Advertisement

Appendix C: Master Board Constraints
set_property PACKAGE_PIN AC24 [get_ports FMC_LPC_LA15_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA15_P]
set_property PACKAGE_PIN AD24 [get_ports FMC_LPC_LA15_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA15_N]
set_property PACKAGE_PIN AD21 [get_ports FMC_LPC_LA14_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA14_P]
set_property PACKAGE_PIN AE21 [get_ports FMC_LPC_LA14_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA14_N]
set_property PACKAGE_PIN AE23 [get_ports FMC_LPC_LA01_CC_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA01_CC_P]
set_property PACKAGE_PIN AF23 [get_ports FMC_LPC_LA01_CC_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA01_CC_N]
set_property PACKAGE_PIN AD23 [get_ports FMC_LPC_LA00_CC_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA00_CC_P]
set_property PACKAGE_PIN AE24 [get_ports FMC_LPC_LA00_CC_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA00_CC_N]
set_property PACKAGE_PIN AF22 [get_ports FMC_LPC_CLK0_M2C_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_CLK0_M2C_P]
set_property PACKAGE_PIN AG23 [get_ports FMC_LPC_CLK0_M2C_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_CLK0_M2C_N]
set_property PACKAGE_PIN AG24 [get_ports SI5326_INT_ALM_LS]
set_property IOSTANDARD LVCMOS25 [get_ports SI5326_INT_ALM_LS]
set_property PACKAGE_PIN AH24 [get_ports HDMI_INT]
set_property IOSTANDARD LVCMOS25 [get_ports HDMI_INT]
set_property PACKAGE_PIN AJ24 [get_ports FMC_LPC_LA10_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA10_P]
set_property PACKAGE_PIN AK25 [get_ports FMC_LPC_LA10_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA10_N]
set_property PACKAGE_PIN AE25 [get_ports FMC_LPC_LA11_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA11_P]
set_property PACKAGE_PIN AF25 [get_ports FMC_LPC_LA11_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA11_N]
set_property PACKAGE_PIN AK23 [get_ports FMC_LPC_LA09_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA09_P]
set_property PACKAGE_PIN AK24 [get_ports FMC_LPC_LA09_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA09_N]
set_property PACKAGE_PIN AG25 [get_ports FMC_LPC_LA07_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA07_P]
set_property PACKAGE_PIN AH25 [get_ports FMC_LPC_LA07_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA07_N]
set_property PACKAGE_PIN AF20 [get_ports FMC_LPC_LA02_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA02_P]
set_property PACKAGE_PIN AF21 [get_ports FMC_LPC_LA02_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA02_N]
set_property PACKAGE_PIN AG22 [get_ports FMC_LPC_LA05_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA05_P]
set_property PACKAGE_PIN AH22 [get_ports FMC_LPC_LA05_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA05_N]
set_property PACKAGE_PIN AJ22 [get_ports FMC_LPC_LA08_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA08_P]
set_property PACKAGE_PIN AJ23 [get_ports FMC_LPC_LA08_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA08_N]
set_property PACKAGE_PIN AG20 [get_ports FMC_LPC_LA03_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA03_P]
set_property PACKAGE_PIN AH20 [get_ports FMC_LPC_LA03_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA03_N]
set_property PACKAGE_PIN AH21 [get_ports FMC_LPC_LA04_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA04_P]
set_property PACKAGE_PIN AJ21 [get_ports FMC_LPC_LA04_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA04_N]
set_property PACKAGE_PIN AK20 [get_ports FMC_LPC_LA06_P]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA06_P]
set_property PACKAGE_PIN AK21 [get_ports FMC_LPC_LA06_N]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_LA06_N]
set_property PACKAGE_PIN AE20 [get_ports SI5326_RST_LS]
set_property IOSTANDARD LVCMOS25 [get_ports SI5326_RST_LS]
set_property PACKAGE_PIN Y25 [get_ports ROTARY_INCB]
set_property IOSTANDARD LVCMOS25 [get_ports ROTARY_INCB]
set_property PACKAGE_PIN Y26 [get_ports ROTARY_INCA]
set_property IOSTANDARD LVCMOS25 [get_ports ROTARY_INCA]
set_property PACKAGE_PIN AA26 [get_ports ROTARY_PUSH]
set_property IOSTANDARD LVCMOS25 [get_ports ROTARY_PUSH]
set_property PACKAGE_PIN W27 [get_ports REC_CLOCK_C_P]
set_property IOSTANDARD LVDS_25 [get_ports REC_CLOCK_C_P]
set_property PACKAGE_PIN W28 [get_ports REC_CLOCK_C_N]
set_property IOSTANDARD LVDS_25 [get_ports REC_CLOCK_C_N]
set_property PACKAGE_PIN Y28 [get_ports GPIO_DIP_SW3]
set_property IOSTANDARD LVCMOS25 [get_ports GPIO_DIP_SW3]
set_property PACKAGE_PIN AA28 [get_ports GPIO_DIP_SW2]
set_property IOSTANDARD LVCMOS25 [get_ports GPIO_DIP_SW2]
set_property PACKAGE_PIN W29 [get_ports GPIO_DIP_SW1]
78
www.xilinx.com
KC705 Evaluation Board
UG810 (v1.4) July 18, 2013

Advertisement

Table of Contents
loading

Table of Contents