Xilinx KC705 User Manual page 81

Evaluation board for the kintex-7 fpga
Hide thumbs Also See for KC705:
Table of Contents

Advertisement

set_property IOSTANDARD LVCMOS25 [get_ports FLASH_A9]
set_property PACKAGE_PIN W23 [get_ports FLASH_A8]
set_property IOSTANDARD LVCMOS25 [get_ports FLASH_A8]
set_property PACKAGE_PIN W24 [get_ports FLASH_A7]
set_property IOSTANDARD LVCMOS25 [get_ports FLASH_A7]
set_property PACKAGE_PIN U22 [get_ports SM_FAN_TACH]
set_property IOSTANDARD LVCMOS25 [get_ports SM_FAN_TACH]
set_property PACKAGE_PIN U23 [get_ports FLASH_A6]
set_property IOSTANDARD LVCMOS25 [get_ports FLASH_A6]
set_property PACKAGE_PIN V21 [get_ports FLASH_A5]
set_property IOSTANDARD LVCMOS25 [get_ports FLASH_A5]
set_property PACKAGE_PIN V22 [get_ports FLASH_A4]
set_property IOSTANDARD LVCMOS25 [get_ports FLASH_A4]
set_property PACKAGE_PIN U24 [get_ports FLASH_A3]
set_property IOSTANDARD LVCMOS25 [get_ports FLASH_A3]
set_property PACKAGE_PIN V24 [get_ports FLASH_A2]
set_property IOSTANDARD LVCMOS25 [get_ports FLASH_A2]
set_property PACKAGE_PIN W21 [get_ports FLASH_A1]
set_property IOSTANDARD LVCMOS25 [get_ports FLASH_A1]
set_property PACKAGE_PIN W22 [get_ports FLASH_A0]
set_property IOSTANDARD LVCMOS25 [get_ports FLASH_A0]
set_property PACKAGE_PIN W19 [get_ports PHY_COL]
set_property IOSTANDARD LVCMOS25 [get_ports PHY_COL]
set_property PACKAGE_PIN M19 [get_ports USB_TX]
set_property IOSTANDARD LVCMOS25 [get_ports USB_TX]
set_property PACKAGE_PIN J23 [get_ports XADC_VAUX0P_R]
set_property IOSTANDARD LVCMOS25 [get_ports XADC_VAUX0P_R]
set_property PACKAGE_PIN J24 [get_ports XADC_VAUX0N_R]
set_property IOSTANDARD LVCMOS25 [get_ports XADC_VAUX0N_R]
set_property PACKAGE_PIN L22 [get_ports XADC_VAUX8P_R]
set_property IOSTANDARD LVCMOS25 [get_ports XADC_VAUX8P_R]
set_property PACKAGE_PIN L23 [get_ports XADC_VAUX8N_R]
set_property IOSTANDARD LVCMOS25 [get_ports XADC_VAUX8N_R]
set_property PACKAGE_PIN K23 [get_ports USB_RTS]
set_property IOSTANDARD LVCMOS25 [get_ports USB_RTS]
set_property PACKAGE_PIN K24 [get_ports USB_RX]
set_property IOSTANDARD LVCMOS25 [get_ports USB_RX]
set_property PACKAGE_PIN L21 [get_ports IIC_SDA_MAIN]
set_property IOSTANDARD LVCMOS25 [get_ports IIC_SDA_MAIN]
set_property PACKAGE_PIN K21 [get_ports IIC_SCL_MAIN]
set_property IOSTANDARD LVCMOS25 [get_ports IIC_SCL_MAIN]
set_property PACKAGE_PIN J21 [get_ports PHY_MDIO]
set_property IOSTANDARD LVCMOS25 [get_ports PHY_MDIO]
set_property PACKAGE_PIN J22 [get_ports FMC_LPC_PRSNT_M2C_B_LS]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_LPC_PRSNT_M2C_B_LS]
set_property PACKAGE_PIN M20 [get_ports FMC_HPC_PRSNT_M2C_B_LS]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_PRSNT_M2C_B_LS]
set_property PACKAGE_PIN L20 [get_ports PHY_RESET]
set_property IOSTANDARD LVCMOS25 [get_ports PHY_RESET]
set_property PACKAGE_PIN J29 [get_ports FMC_HPC_PG_M2C_LS]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_PG_M2C_LS]
set_property PACKAGE_PIN H29 [get_ports FMC_C2M_PG_LS]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_C2M_PG_LS]
set_property PACKAGE_PIN J27 [get_ports FMC_VADJ_ON_B_LS]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_VADJ_ON_B_LS]
set_property PACKAGE_PIN J28 [get_ports PHY_TXD7]
set_property IOSTANDARD LVCMOS25 [get_ports PHY_TXD7]
set_property PACKAGE_PIN L30 [get_ports PHY_TXD6]
set_property IOSTANDARD LVCMOS25 [get_ports PHY_TXD6]
set_property PACKAGE_PIN K30 [get_ports PHY_TXC_GTXCLK]
set_property IOSTANDARD LVCMOS25 [get_ports PHY_TXC_GTXCLK]
set_property PACKAGE_PIN K26 [get_ports PHY_TXD5]
set_property IOSTANDARD LVCMOS25 [get_ports PHY_TXD5]
set_property PACKAGE_PIN J26 [get_ports PHY_TXD4]
set_property IOSTANDARD LVCMOS25 [get_ports PHY_TXD4]
set_property PACKAGE_PIN L26 [get_ports SM_FAN_PWM]
set_property IOSTANDARD LVCMOS25 [get_ports SM_FAN_PWM]
set_property PACKAGE_PIN L27 [get_ports USB_CTS]
set_property IOSTANDARD LVCMOS25 [get_ports USB_CTS]
set_property PACKAGE_PIN L25 [get_ports USER_SMA_CLOCK_P]
set_property IOSTANDARD LVDS_25 [get_ports USER_SMA_CLOCK_P]
set_property PACKAGE_PIN K25 [get_ports USER_SMA_CLOCK_N]
set_property IOSTANDARD LVDS_25 [get_ports USER_SMA_CLOCK_N]
set_property PACKAGE_PIN K28 [get_ports USER_CLOCK_P]
set_property IOSTANDARD LVDS_25 [get_ports USER_CLOCK_P]
set_property PACKAGE_PIN K29 [get_ports USER_CLOCK_N]
set_property IOSTANDARD LVDS_25 [get_ports USER_CLOCK_N]
set_property PACKAGE_PIN M28 [get_ports PHY_TXCLK]
set_property IOSTANDARD LVCMOS25 [get_ports PHY_TXCLK]
set_property PACKAGE_PIN L28 [get_ports PHY_TXD3]
set_property IOSTANDARD LVCMOS25 [get_ports PHY_TXD3]
KC705 Evaluation Board
UG810 (v1.4) July 18, 2013
www.xilinx.com
KC705 Board XDC Listing
81

Advertisement

Table of Contents
loading

Table of Contents