Xilinx KCU1250 User Manual page 60

Hide thumbs Also See for KCU1250:
Table of Contents

Advertisement

set_property PACKAGE_PIN AK23
set_property IOSTANDARD
#SWITCHES
set_property PACKAGE_PIN J19
set_property IOSTANDARD
set_property PACKAGE_PIN H19
set_property IOSTANDARD
set_property PACKAGE_PIN G19
set_property IOSTANDARD
set_property PACKAGE_PIN F19
set_property IOSTANDARD
set_property PACKAGE_PIN J18
set_property IOSTANDARD
set_property PACKAGE_PIN H18
set_property IOSTANDARD
set_property PACKAGE_PIN F18
set_property IOSTANDARD
set_property PACKAGE_PIN F17
set_property IOSTANDARD
set_property PACKAGE_PIN L15
set_property IOSTANDARD
set_property PACKAGE_PIN L18
set_property IOSTANDARD
#LEDs
set_property PACKAGE_PIN D18
set_property IOSTANDARD
set_property PACKAGE_PIN D19
set_property IOSTANDARD
set_property PACKAGE_PIN C18
set_property IOSTANDARD
set_property PACKAGE_PIN C19
set_property IOSTANDARD
set_property PACKAGE_PIN B19
set_property IOSTANDARD
set_property PACKAGE_PIN A19
set_property IOSTANDARD
set_property PACKAGE_PIN A18
set_property IOSTANDARD
set_property PACKAGE_PIN B16
set_property IOSTANDARD
#SMAs
set_property PACKAGE_PIN G10
set_property IOSTANDARD
set_property PACKAGE_PIN F10
set_property IOSTANDARD
set_property PACKAGE_PIN G9
set_property IOSTANDARD
set_property PACKAGE_PIN F9
set_property IOSTANDARD
#SYSTEM CLOCKS
set_property PACKAGE_PIN E18
set_property IOSTANDARD
set_property PACKAGE_PIN E17
set_property IOSTANDARD
KCU1250 User Guide
UG1057 (v1.0) December 19, 2014
Appendix Appendix C: Master Constraints File Listing
[get_ports "CM_GCLK_N"]
LVCMOS18 [get_ports "CM_GCLK_N"]
[get_ports "USER_SW1"]
LVCMOS18 [get_ports "USER_SW1"]
[get_ports "USER_SW2"]
LVCMOS18 [get_ports "USER_SW2"]
[get_ports "USER_SW3"]
LVCMOS18 [get_ports "USER_SW3"]
[get_ports "USER_SW4"]
LVCMOS18 [get_ports "USER_SW4"]
[get_ports "USER_SW5"]
LVCMOS18 [get_ports "USER_SW5"]
[get_ports "USER_SW6"]
LVCMOS18 [get_ports "USER_SW6"]
[get_ports "USER_SW7"]
LVCMOS18 [get_ports "USER_SW7"]
[get_ports "USER_SW8"]
LVCMOS18 [get_ports "USER_SW8"]
[get_ports "USER_PB1"]
LVCMOS18 [get_ports "USER_PB1"]
[get_ports "USER_PB2"]
LVCMOS18 [get_ports "USER_PB2"]
[get_ports "APP_LED1"]
LVCMOS18 [get_ports "APP_LED1"]
[get_ports "APP_LED2"]
LVCMOS18 [get_ports "APP_LED2"]
[get_ports "APP_LED3"]
LVCMOS18 [get_ports "APP_LED3"]
[get_ports "APP_LED4"]
LVCMOS18 [get_ports "APP_LED4"]
[get_ports "APP_LED5"]
LVCMOS18 [get_ports "APP_LED5"]
[get_ports "APP_LED6"]
LVCMOS18 [get_ports "APP_LED6"]
[get_ports "APP_LED7"]
LVCMOS18 [get_ports "APP_LED7"]
[get_ports "APP_LED8"]
LVCMOS18 [get_ports "APP_LED8"]
[get_ports "CLK_DIFF_1_P"]
LVDS
[get_ports "CLK_DIFF_1_P"]
[get_ports "CLK_DIFF_1_N"]
LVDS
[get_ports "CLK_DIFF_1_N"]
[get_ports "CLK_DIFF_2_P"]
LVDS
[get_ports "CLK_DIFF_2_P"]
[get_ports "CLK_DIFF_2_N"]
LVDS
[get_ports "CLK_DIFF_2_N"]
[get_ports "LVDS_OSC_P"]
LVDS
[get_ports "LVDS_OSC_P"]
[get_ports "LVDS_OSC_N"]
LVDS
[get_ports "LVDS_OSC_N"]
www.xilinx.com
60
Send Feedback

Advertisement

Table of Contents
loading

Table of Contents