Campbell Measurement and Control Module CR10 Operator's Manual

Ds 70;
Hide thumbs Also See for Measurement and Control Module CR10:
Table of Contents

Advertisement

Quick Links

CR10 MEASUREMENT AND CONTROL MODULE
OPERATOR'S MANUAL
REVISION: 3/96
COPYRIGHT (c) 1987-1996 CAMPBELL SCIENTIFIC, INC.

Advertisement

Table of Contents
loading

Summary of Contents for Campbell Measurement and Control Module CR10

  • Page 1 CR10 MEASUREMENT AND CONTROL MODULE OPERATOR'S MANUAL REVISION: 3/96 COPYRIGHT (c) 1987-1996 CAMPBELL SCIENTIFIC, INC.
  • Page 2 This is a blank page.
  • Page 3 The CR10 MEASUREMENT AND CONTROL MODULE is warranted by CAMPBELL SCIENTIFIC, INC. to be free from defects in materials and workmanship under normal use and service for thirty-six (36) months from date of shipment unless specified otherwise. Batteries have no warranty. CAMPBELL SCIENTIFIC, INC.'s obligation under this warranty is limited to repairing or replacing (at CAMPBELL SCIENTIFIC, INC.'s option) defective products.
  • Page 4 This is a blank page.
  • Page 5: Table Of Contents

    CR10 MEASUREMENT AND CONTROL MODULE OV1. PHYSICAL DESCRIPTION OV1.1 Wiring Panel... OV-1 OV1.2 Connecting Power to the CR10 ... OV-5 OV2. MEMORY AND PROGRAMMING CONCEPTS OV2.1 Internal Memory ... OV-5 OV2.2 CR10 Instruction Types ... OV-7 OV2.3 Program Tables, Execution Interval and Output Intervals ... OV-7 OV3.
  • Page 6: Telecommunications

    CR10 TABLE OF CONTENTS INTERNAL DATA STORAGE Final Storage Areas, Output Arrays, and Memory Pointers ... 2-1 Data Output Format and Range Limits ... 2-3 Displaying Stored Data on Keyboard/Display - *7 Mode ... 2-3 INSTRUCTION SET BASICS Parameter Data Types... 3-1 Repetitions ...
  • Page 7 MEASUREMENT PROGRAMMING EXAMPLES Single-Ended Voltage - LI200S Silicon Pyranometer ... 7-1 Differential Voltage Measurement ... 7-2 Thermocouple Temperatures Using the Optional CR10TCR to Measure the Reference Temperature... 7-3 Thermocouple Temperatures Using an External Reference Junction ... 7-3 107 Temperature Probe... 7-4 207 Temperature and RH Probe ...
  • Page 8: Appendix B. Cr10 Prom Signature And Optional Software

    CR10 TABLE OF CONTENTS 13. CR10 MEASUREMENTS 13.1 Fast and Slow Measurement Sequence... 13-1 13.2 Single-Ended and Differential Voltage Measurements... 13-2 13.3 The Effect of Sensor Lead Length on the Signal Settling Time... 13-3 13.4 Thermocouple Measurements ... 13-12 13.5 Bridge Resistance Measurements...
  • Page 9 CR10 TABLE OF CONTENTS LIST OF TABLES ... LT-1 LIST OF FIGURES ... LF-1 INDEX ... I-1...
  • Page 10 CR10 TABLE OF CONTENTS This is a blank page.
  • Page 11 SELECTED OPERATING DETAILS 1. Storing Data - Data are stored in Final Storage only by Output Processing Instructions and only when the Output Flag is set. (Sections OV4.1.1 and OV4.2.1) 2. Storing Date and Time - Date and time are stored with the data in Final Storage ONLY if the Real Time Instruction 77 is used.
  • Page 12 1. Damage will occur to the analog input circuitry if voltages in excess of ±16 V are applied for a sustained period. Voltages in excess of ±5V will cause errors and possible overranging on other analog input channels. 2. When using the CR10 with the PS12LA, remember that the sealed lead acid batteries are permanently damaged if discharged below 10.5 V.
  • Page 13: Ov1. Physical Description

    CR10 MEASUREMENT AND CONTROL MODULE OVERVIEW Campbell Scientific Inc. provides four aids to understanding and operating the CR10: PCTOUR This Overview The CR10 Operator's Manual The CR10 Prompt Sheet PCTOUR is a computer-guided tour of CR10 operation and the use of the PC208 Datalogger Support Software.
  • Page 14 CR10 OVERVIEW OV-2...
  • Page 15 CR10 OVERVIEW FIGURE OV1.1-1. CR10 and Wiring Panel OV-3...
  • Page 16 CR10 OVERVIEW FIGURE OV1.1-2. CR10 Wiring Panel/Instruction Access OV-4...
  • Page 17 CR10 OVERVIEW OV-5...
  • Page 18 CR10 OVERVIEW OV1.1.1 ANALOG INPUTS The terminals labeled 1H to 6L are analog inputs. These numbers refer to the high and low inputs to the differential channels 1 through 6. In a differential measurement, the voltage on the H input is measured with respect to the voltage on the L input.
  • Page 19: Ov1.2 Connecting Power To The Cr10

    OV1.2 CONNECTING POWER TO THE CR10 The CR10 can be powered by any 12VDC source. First connect the positive lead from the power supply to one of the 12V terminals and then connect the negative lead to one of the power ground (G) terminals.
  • Page 20: Input/Output Instructions

    CR10 OVERVIEW INPUT/OUTPUT INSTRUCTIONS Sensors Specify the conversion of a sensor signal to a data value and store it in Input Storage. Programmable entries specify: (1) the measurement type (2) the number of channels to measure (3) the input voltage range Control (4) the Input Storage Location (5) the sensor calibration constants...
  • Page 21: Ov2.2 Cr10 Instruction Types

    OV2.2 CR10 INSTRUCTION TYPES Figure OV2.1-1 illustrates the use of three different instruction types which act on data. The fourth type, Program Control, is used to control output times and vary program execution. Instructions are identified by numbers. 1. INPUT/OUTPUT INSTRUCTIONS (1-28, 101-104, Section 9) control the terminal strip inputs and outputs (the sensor is the source, Figure OV1.1-2), storing the results...
  • Page 22: Ov3. Communicating With Cr10

    CR10 OVERVIEW Table 1. Execute every x sec. 0.0156 < x < 8191 Instructions are executed sequentially in the order they are entered in the table. One complete pass through the table is made each execution interval unless program control instructions are used to loop or branch execution.
  • Page 23: Ov3.1 Cr10 Keyboard/Display

    contains a program editor (EDLOG), a terminal emulator (GraphTerm), telecommunications (TELCOM), a data reduction program (SPLIT), and programs to retrieve data from both generations of Campbell Scientific's Storage Modules (SMREAD and SMCOM). To participate in the programming examples (Section OV5) you must communicate with the CR10.
  • Page 24: Ov4. Programming The Cr10

    CR10 OVERVIEW straight cable with the proper connectors (Campbell Scientific SC25PS or equivalent for a 25 pin serial port configured DTE). OV3.3.2 ESTABLISHING COMMUNICATION WITH THE CR10 Communication software is available for most computers having a serial port. Campbell Scientific's PC208 Datalogger Support Software is available for IBM PC/XT/AT/PS-2's and compatibles.
  • Page 25: Ov4.2 Key Definition

    TABLE OV4.1-1. * Mode Summary Mode LOG data and indicate active Tables Program Table 1 Program Table 2 Program Table 3, subroutines only Display/set real time clock Display/alter Input Storage data, toggle flags or control ports. Display Final Storage data Final Storage data transfer to peripheral Storage Module commands Memory allocation/reset...
  • Page 26: Ov4.4 Instruction Format

    CR10 OVERVIEW determined by the order of the Output Processing Instructions in the table. 6. Repeat steps 4 through 6 for additional outputs on different intervals or conditions. NOTE: The program must be executed for output to occur. Therefore, the interval at which the Output Flag is set must be evenly divisible by the execution interval.
  • Page 27: Ov5. Programming Examples

    datalogger is powered-up, requiring only that the clock be set. The program on power up function can be achieved by using a SM192/716 Storage Module. Up to 8 programs can be stored in the Storage Module, the programs may be assigned any of the numbers 1-8.
  • Page 28: Ov5.1 Sample Program 1

    CR10 OVERVIEW OV5.1 SAMPLE PROGRAM 1 In this example the CR10 is programmed to read its own internal temperature (using a built in thermistor) every 5 seconds and to send the results to Final Storage. Display Will Show: Key (ID:Data) Explanation 00:00 Enter mode.
  • Page 29: Ov5.2 Sample Program 2

    02:0000 Enter 1 and advance to second parameter (Input Storage location to sample). 02:1 Input Storage Location 1, where the temperature is stored. 04:P00 Enter 1 and advance to fourth program instruction. 00:00 Exit Table 1. LOG 1 Enter *0 Mode, compile program, log data.
  • Page 30: Ov5.3 Editing An Existing Program

    CR10 OVERVIEW Parameter 2 is the voltage range to use when making the measurement. The output of a type T thermocouple is approximately 40 microvolts per degree C difference in temperature between the two junctions. The ±2.5 mV scale will provide a range of +2500/40 = +62.5 (i.e., this scale will not overrange as long as the measuring junction is within 62.5 panel temperature).
  • Page 31 Instruction # Parameter (Loc:Entry) (Par#:Entry) 01:60 Key "#D" until 01:P00 is displayed 01:P17 01:1 02:P14 (differential) 01:1 02:1 03:5 04:1 05:1 06:2 07:1 08:0 Instruction # Parameter (Loc.:Entry) Par.#:Entry) 03:P92 01:0 02:60 03:10 The CR10 is programmed to measure the thermocouple temperature every sixty seconds. The If Time instruction sets the Output Flag at the beginning of every hour.
  • Page 32: Ov6. Data Retrieval Options

    CR10 OVERVIEW Instruction # (Loc.:Entry) 09: P74 The program to make the measurements and to send the desired data to Final Storage has been entered. At this point, Instruction 96 is entered to enable data transfer from Final Storage to Storage Module. 10:P96 The program is complete.
  • Page 33 TABLE OV6.1-1. Data Retrieval Methods and Related Instructions Storage Printer, other Module Serial Device Inst. 96, Inst. 96, Inst. 98, TABLE OV6.1-2. Data Retrieval Sections in Manual Instruction or Mode Instr. 97 Telecommunications CR10 OVERVIEW Telecommunications (RF, Phone, Short Haul, SC32A) Inst.
  • Page 34 CR10 OVERVIEW OV-22...
  • Page 35 CR10 OVERVIEW FIGURE OV6.1-1. Data Retrieval Hardware Options OV-23...
  • Page 36: Ov7. Specifications

    CR10 OVERVIEW OV7. SPECIFICATIONS OV-24...
  • Page 37 CR10 OVERVIEW OV-25...
  • Page 38 CR10 OVERVIEW OV-26...
  • Page 39: Functional Modes

    1.1 PROGRAM TABLES - *1, *2, AND *3 MODES Data acquisition and processing functions are controlled by user-entered instructions contained in program tables. Programming can be separated into 2 tables, each having its own user-entered execution interval. A third table is available for programming subroutines which may be called by instructions in Tables 1 or 2 or by a special interrupt.
  • Page 40: Setting And Displaying The Clock - *5 Mode

    SECTION 1. FUNCTIONAL MODES Subroutines 97 and 98 have the unique capability of being executed when a port goes high (ports 7 and 8 respectively). Either subroutine will interrupt Tables 1 and 2 (Section 1.1.3) when the appropriate port goes high. Port 7 cannot wake the processor, subroutine 97 will be executed at the next 1/8 second interval after the port goes high.
  • Page 41: Displaying/Altering Input Memory, Flags, And Ports - *6 Mode

    second or less remain constant while time is reset. Averaged values will still be accurate, though the interval may have a different number of samples than normal. Totalized values will reflect the different number of samples. The pulse count instruction will use the previous interval's value if an option has been selected to discard odd intervals, otherwise it will use the count accumulated in...
  • Page 42: Compiling And Logging Data - *0 Mode

    SECTION 1. FUNCTIONAL MODES 1.3.2 DISPLAYING AND TOGGLING USER FLAGS If D is keyed while the CR10 is displaying a location value, the current status of the user flags will be displayed in the following format: "00:010010". The characters represent the flags, the left-most digit is Flag 1 and right most is Flag 8.
  • Page 43 require 2. Section 2 describes Final Storage and data retrieval in detail. SECTION 1. FUNCTIONAL MODES Table 1.5-1 lists the basic memory functions and the amount of memory allotted to them.
  • Page 44: Memory Allocation In Cr10

    SECTION 1. FUNCTIONAL MODES TABLE 1.5-1. Memory Allocation in CR10 (32K ROM, 64K RAM) Program Memory 64K RAM Bytes 1986 Loc. MAXIMUM REALLOCATION FROM FINAL STORAGE Maximum No. of Input + Intermediate Storage Locations 6,862 Notes: 28 is the minimum number of Input Storage locations. 768 is the minimum number of Final Storage Area 1 locations.
  • Page 45 SECTION 1. FUNCTIONAL MODES 05: XXXXX Bytes free in program memory. Key in 1986 to completely reset datalogger.
  • Page 46: Memory Testing And System Status - *B

    SECTION 1. FUNCTIONAL MODES The maximum size of Input and Intermediate Storage and the minimum size of Final Storage are determined by the size of RAM chips installed (Table 1.5-1). Input and Intermediate Storage are confined to the same RAM chip as system and program memory, they cannot be expanded onto the second chip which is always entirely dedicated to Final Storage.
  • Page 47: C Mode -- Security

    07: XXXX. Keyboard Display Entry ID: Data 01:XXXX 02:XXXX 03:XXXX Keyboard Display Entry ID: Data 12:0000 01:XX 1.7 *C MODE -- SECURITY The *C Mode is used to block access to the user's program information and certain CR10 functions. There are 3 levels of security, each with its own 4 digit password.
  • Page 48 SECTION 1. FUNCTIONAL MODES Send ASCII Program Load ASCII Program Save/Load/Clear Program from Storage Module N 1-10...
  • Page 49: Ascii And Storage Module Command Options

    Commands 1 and 2 (when entered from the Keyboard/Display) and 7 have an additional 2 digit option parameters (7 is entered with the Storage Module address, e.g., 71). The CR10 will display the command number and prompt for the option. If the keyboard display is not being used, the CR10 will have already set the baud rate to that of the device it is communicating with and will be ready to send or receive the file as...
  • Page 50 SECTION 1. FUNCTIONAL MODES LOAD PROGRAM FROM ASCII FILE Command 2 sets up the CR10 to load a program which is input as serial ASCII data in the same form as sent in response to command 1. A download file need not follow exactly the same format that is used when listing a program (i.e., some of the characters sent in the listing are not really used when a program...
  • Page 51 SECTION 1. FUNCTIONAL MODES This is a blank page. 1-13...
  • Page 52: Internal Data Storage

    SECTION 2. INTERNAL DATA STORAGE 2.1 FINAL STORAGE AREAS, OUTPUT ARRAYS, AND MEMORY POINTERS Final Storage is that portion of memory where final processed data are stored. It is from Final Storage that data is transferred to your computer or external storage peripheral. The size of Final Storage is expressed in terms of memory locations or bytes.
  • Page 54: Output Array Id

    Output Processing Instructions store data into Final Storage only when the Output Flag is set. The string of data stored each time the Output Flag is set is called an OUTPUT ARRAY. The first data point in the output array is a 3 digit OUTPUT ARRAY ID.
  • Page 55: Data Output Format And Range Limits

    SECTION 2. INTERNAL DATA STORAGE NOTE: All memory pointers are set to the DSP location when the datalogger compiles a program. For this reason, ALWAYS RETRIEVE UNCOLLECTED DATA BEFORE MAKING PROGRAM CHANGES. For example, assume the TPTR lags the DSP by less than 512 data points when the datalogger program is altered.
  • Page 56 If no memory has been allocated to Final Storage Area 2, this first window will be skipped. The next window displays the current DSP location. Pressing A advances you to the Output array ID of the oldest Array in the Storage Area.
  • Page 57: Parameter Data Types

    SECTION 3. INSTRUCTION SET BASICS The instructions used to program the CR10 are divided into four types: Input/Output (I/O), Processing, Output Processing, and Program Control. I/O Instructions are used to make measurements and store the readings in input locations or to initiate analog or digital port output. Processing Instructions perform mathematical operations using data from Input Storage locations and place the results back into specified Input Storage locations.
  • Page 58: Voltage Range And Overrange Detection

    SECTION 3. INSTRUCTION SET BASICS Location or Port the instruction acts on. Normally the loop counter is incremented by 1 after each pass through the loop. Instruction 90, Step Loop Index, allows the increment step to be changed. See Instructions 87 and 90, Section 12, for more details.
  • Page 59: Use Of Flags: Output And Program Control

    The instructions to output the average temperature every 10 minutes are in Table 2 which has an execution interval of 10 seconds. The temperature will be measured 600 times in the 10 minute period, but the average will be the result of only 60 of those measurements because the instruction to average is executed only one tenth as often as the instruction to make the measurement.
  • Page 60: Program Control Logical Constructions

    SECTION 3. INSTRUCTION SET BASICS As an example, suppose it is desired to obtain a wind speed rose incorporating only wind speeds greater than or equal to 4.5 m/s. The wind speed rose is computed using the Histogram Instruction 75, and wind speed is stored in input location 14, in m/s.
  • Page 61: Instruction Memory And Execution Time

    FIGURE 3.8-2. Logical AND Construction If Then/Else comparisons may be nested to form logical AND or OR branching. Figure 3.8- 2 illustrates an AND construction. If conditions A and B are true, the instructions included between IF B and the first End Instruction will be executed.
  • Page 62 SECTION 3. INSTRUCTION SET BASICS...
  • Page 63 SECTION 3. INSTRUCTION SET BASICS...
  • Page 64: Processing Instruction Memory And Execution Times

    SECTION 3. INSTRUCTION SET BASICS TABLE 3.9-2. Processing Instruction Memory and Execution Times R = No. of Reps. INPUT INSTRUCTION LOC. 30 Z=F 31 Z=X 32 Z=Z+1 33 Z=X+Y 34 Z=X+F 35 Z=X-Y 36 Z=X*Y 37 Z=X*F 38 Z=X/Y 39 Z=SQRT(X) 40 Z=LN(X) 41 Z=EXP(X) 42 Z=1/X...
  • Page 65 SECTION 3. INSTRUCTION SET BASICS Output values may be sent to either Final Storage area or Input Storage with Instruction 80.
  • Page 66: Error Codes

    SECTION 3. INSTRUCTION SET BASICS TABLE 3.9-4. Program Control Instruction Memory and Execution Times INTER. INSTRUCTION LOC. 83 IF CASE <F 85 LABEL SUBR. 86 DO 87 LOOP 88 IF X<=>Y 89 IF X<=>F 90 LOOP INDEX 91 IF FLAG/PORT 92 IF TIME 93 BEGIN CASE 94 ELSE...
  • Page 67 *D Mode errors indicate problems with saving or loading a program. Only the error code is displayed. TABLE 3.10-1. Error Codes Code Type Description Editor program table full Compile Intermediate Storage full Compile Storage Area #2 not allocated Run Time CR10 reset by watchdog timer Run Time...
  • Page 68 SECTION 3. INSTRUCTION SET BASICS This is a blank page. 3-12...
  • Page 69: External Storage Peripherals

    SECTION 4. EXTERNAL STORAGE PERIPHERALS External data storage devices are used to provide a data transfer medium that the user can carry from the test site to the lab and to supplement the internal storage capacity of the CR10, allowing longer periods between visits to the site. The standard data storage peripheral for the CR10 is the Storage Module (Section 4.5).
  • Page 70: Output Device Codes For Instruction 96 And *8 Mode

    SECTION 4. EXTERNAL STORAGE PERIPHERALS Instruction 96 has a single parameter which specifies the peripheral to send output to. Table 4.1-1 lists the output device codes. TABLE 4.1-1. Output Device Codes for Instruction 96 and *8 Mode Code Device Tape. Data transferred in blocks of 512 Final Storage locations Tape.
  • Page 71: Manually Initiated Data Output - *8 Mode

    Display ID:DATA 08:00 01:XX 02:XXXXX 03:XXXXX 04:00 4.2 MANUALLY INITIATED DATA OUTPUT - *8 MODE Data transfer to a peripheral device can be manually initiated in the *8 Mode. This process requires that the user have access to the CR10 through a terminal or the Campbell Scientific Keyboard/Display.
  • Page 72: Cassette Recorder Specifications

    SECTION 4. EXTERNAL STORAGE PERIPHERALS the RC35 by switching power through the DC power line of the SC92A/SC93A. TABLE 4.3-1 Cassette Recorder Specifications Power 6 VDC (provided by CR10 through SC92A or SC93A); 4 AA size batteries; 120 VAC/6 VDC adapter Current Drain 200 mA typ./5 sec., while Recording...
  • Page 73: Printer Output Formats

    4.3.3 TAPE FORMAT Data is transferred to cassette tape in the high speed/high density Format 2. Data tapes generated by the CR10 are read by the PC201 tape read card for the IBM PC or by the C20 Cassette Interface. The C20 decodes the tape and transmits the data in ASCII to any external device equipped with a standard RS232 interface.
  • Page 74 SECTION 4. EXTERNAL STORAGE PERIPHERALS...
  • Page 75: Storage Module (Sm192/716)

    FIGURE 4.4-1. Example of CR10 Printable ASCII Output Format 4.4.2 COMMA DELINEATED ASCII Comma Delineated ASCII strips all IDs, leading zeros, unnecessary decimal points and trailing zeros, and plus signs. Data points are separated by commas. Arrays are separated by Carriage Return Line Feed.
  • Page 76: Mode -- Storage Module Commands

    SECTION 4. EXTERNAL STORAGE PERIPHERALS Module is connected, and it is not full, address 1 will address that Storage Module regardless of the address that is assigned to the Module. Address 1 would be used with Instruction 96 if several Storage Modules with different addresses were connected to the CR10 and were to be filled sequentially.
  • Page 77 SECTION 4. EXTERNAL STORAGE PERIPHERALS one response, advance through these and return to the *9 command state by keying A.
  • Page 78 SECTION 4. EXTERNAL STORAGE PERIPHERALS TABLE 4.6-1. *9 Commands for Storage Module COMMAND DISPLAY 01: 0000 01: XX 03: 01 04: XX 01: ABCD 02: ABCD 03: A0CD 04: XXXXX 06: 0X 07: 00 07:XXXXXX 08:00 01:XXXXXX 02:XXXXXX 03:XX XXXXXXXX 87654321 4-10 DESCRIPTION...
  • Page 79 SECTION 4. EXTERNAL STORAGE PERIPHERALS 10:0X X is current address, enter address to change to (1-8) 4-11...
  • Page 80: Telecommunications Commands

    SECTION 5. TELECOMMUNICATIONS Telecommunications is used to retrieve data from Final Storage directly to a computer/terminal and to program the CR10. Any user communication with the CR10 that makes use of a computer or terminal instead of the CR10KD is through Telecommunications. Telecommunications can take place over a variety of links including: •...
  • Page 81 SECTION 5. TELECOMMUNICATIONS 3. Valid characters are the numbers 0-9, the capital letters A-M, the colon (:), and the carriage return (CR). 4. An illegal character increments a counter and zeros the command buffer, returning a 5. CR to datalogger means "execute". 6.
  • Page 82 TABLE 5.1-1. Telecommunications Commands Command Description [F.S. Area]A SELECT AREA/STATUS - If 1 or 2 does not precede the A to select the Final Storage Area, the CR10 will default to Area 1. All subsequent commands other than A will address the area selected. Datalogger returns Reference, the DSP location;...
  • Page 83: Telecommunications Telecommunications Commands

    SECTION 5. TELECOMMUNICATIONS [Password]L [X]M 5.2 REMOTE PROGRAMMING OF THE CR10 Remote programming of the CR10 can be accomplished with the PC208 software or directly through the Remote Keyboard State. The PC208 Datalogger Support Software was developed by Campbell Scientific for use with IBM or compatible PC's.
  • Page 84: Pin Serial Input/Output

    SECTION 6. 9-PIN SERIAL INPUT/OUTPUT 6.1 PIN DESCRIPTION All external communication peripherals connect to the CR10 through the 9-pin subminiature D- type socket connector located on the front of the Wiring Panel (Figure 6.1-1). Table 6.1-1 shows the I/O pin configuration, and gives a brief description of the function of each pin.
  • Page 85: Enabling And Addressing Peripherals

    SECTION 6. 9-PIN SERIAL INPUT/OUTPUT FIGURE 6.2-1. Hardware Enabled and Synchronously Addressed Peripherals 6.2 ENABLING AND ADDRESSING PERIPHERALS While several peripherals may be connected in parallel to the 9-pin port, the CR10 has only one transmit line (pin 9) and one receive line (pin 4, Table 6.1-1).
  • Page 86: Ring Interrupts

    from enabled peripherals in that they are not enabled solely by a hardware line (Section 6.2.1); an SD is enabled by an address synchronously clocked from the CR10 (Section 6.6). Up to 16 SDs may be addressed by the CR10. Unlike an enabled peripheral, the CR10 establishes communication with an addressed peripheral before data are transferred.
  • Page 87: Modem/Terminal Peripherals

    SECTION 6. 9-PIN SERIAL INPUT/OUTPUT 1. Comma delineated ASCII - after every 32 characters. 2. Printable ASCII - after every line. 3. Binary - after every 256 Final Storage locations. 4. Tape - after every block (512 Final Storage locations). 6.5 MODEM/TERMINAL PERIPHERALS The CR10 considers any device with an asynchronous serial communications port...
  • Page 88: Addressing Sequence For The Rf Modem

    FIGURE 6.6-1. Addressing Sequence for the RF Modem...
  • Page 89: Modem/Terminal And Computer Requirements

    SECTION 6. 9-PIN SERIAL INPUT/OUTPUT State 2 requires all SDs to drop the Ring line and prepare for addressing. The CR10 then synchronously clocks 8 bits onto TXD using CLK/HS as a clock. The least significant bit is transmitted first and is always logic high. Each bit transmitted is stable on the rising edge of CLK/HS.
  • Page 90: Sc32A Pin Description

    tions Command State (Section 5). If the carriage returns are not received within the 40 seconds, the CR10 "hangs up". TABLE 6.7-1. SC32A Pin Description ABR = Abbreviation for the function name PIN = Pin number Signal Out of the SC32A to a peripheral Signal Into the SC32A from peripheral 25-PIN FEMALE PORT:...
  • Page 91 SECTION 6. 9-PIN SERIAL INPUT/OUTPUT Ring Indicator: The modem raises this line to tell the terminal that the phone is ringing. Signal Ground: Voltages are measured relative to this point.
  • Page 92 FIGURE 6.7-1. Transmitting the ASCII Character 1 If the computer/terminal is configured as DCE equipment (pin 2 is an input for RD), a null modem cable is required. See the SC32A manual for details. 6.7.3 COMMUNICATION PROTOCOL/TROUBLE SHOOTING The ASCII standard defines an alphabet consisting of 128 different characters where each character corresponds to a number, letter, symbol, or control code.
  • Page 93 SECTION 6. 9-PIN SERIAL INPUT/OUTPUT To overcome the limitations of half duplex, some communications links expect a terminal sending data to also write the data to the screen. This saves the remote device having to echo that data back. If, when communicating with a Campbell Scientific device, characters are displayed twice (in pairs), it is likely that the terminal is set to half duplex rather than the...
  • Page 94: Measurement Programming Examples

    SECTION 7. MEASUREMENT PROGRAMMING EXAMPLES This section gives some examples of Input Programming for common sensors used with the CR10. These examples detail only the connections, Input, Program Control, and Processing Instructions necessary to perform measurements and store the data in engineering units in Input Storage. Output Processing Instructions are omitted (see Section 8 for some processing and program control examples).
  • Page 95: Differential Voltage Measurement

    SECTION 7. MEASUREMENT PROGRAMMING EXAMPLES FIGURE 7.2-1. Typical Connection for Active Sensor with External Battery 7.2 DIFFERENTIAL VOLTAGE MEASUREMENT Some sensors either contain or require active signal conditioning circuitry to provide an easily measured analog voltage output. Generally, the output is referenced to the sensor ground. The associated current drain usually requires a power source external to the CR10.
  • Page 96: Thermocouple Temperatures Using The Optional Cr10Tcr To Measure The Reference Temperature

    FIGURE 7.3-1. CR10TCR Mounted on the CR10 Wiring Panel 7.3 THERMOCOUPLE TEMPERATURES USING THE OPTIONAL CR10TCR TO MEASURE THE REFERENCE TEMPERATURE The CR10TCR Thermocouple Reference is a temperature reference for thermocouples measured with the CR10 Measurement and Control Module. When installed, the CR10TCR lies between the two analog input terminal strips of the CR10 Wiring Panel (see Figure 7.3-1).
  • Page 97: Temperature Probe

    SECTION 7. MEASUREMENT PROGRAMMING EXAMPLES FIGURE 7.4-1. Thermocouples with External Reference Junction In the following example, an external temperature measurement is used as the reference for 5 thermocouple measurements. A Campbell Scientific 107 Temperature Probe is used to measure the reference temperature. The connection scheme is shown in Figure 7.4-1.
  • Page 98: Anemometer With Photochopper Output

    temperatures of the three probes which are stored in Input Locations 1-3; the RH values are stored in Input Locations 4-6. The temperature measurements are made on single-ended input channels 1-3, just as in example 7.5. The program listed below is a continuation of the program given in example 7.5.
  • Page 99: Tipping Bucket Rain Gage With Long Leads

    SECTION 7. MEASUREMENT PROGRAMMING EXAMPLES FIGURE 7.8-1. Wiring Diagram for Rain Gage with Long Leads 7.8 TIPPING BUCKET RAIN GAGE WITH LONG LEADS A tipping bucket rain gage is measured with the Pulse Count Instruction configured for Switch Closure. Counts from long intervals will be used, as the final output desired is total rainfall (obtained with Instruction 72, Totalize).
  • Page 100: Wiring Diagram For Prt In 4 Wire Half Bridge

    FIGURE 7.9-1. Wiring Diagram for PRT in 4 Wire Half Bridge The result of Instruction 9 when the first differential measurement (V ) is not made on the 2.5 V range is equivalent to R Instruction 16 computes the temperature (°C) for a DIN 43760 standard PRT from the ratio of the PRT resistance at the temperature being measured to its resistance at 0°C (R...
  • Page 101: Ohm Prt In 3 Wire Half Bridge

    SECTION 7. MEASUREMENT PROGRAMMING EXAMPLES FIGURE 7.10-1. 3 Wire Half Bridge Used to Measure 100 ohm PRT 7.10 100 OHM PRT IN 3 WIRE HALF BRIDGE The temperature measurement requirements in this example are the same as in Section 7.9. In this case, a three wire half bridge, Instruction 7, is used to measure the resistance of the PRT.
  • Page 102: Ohm Prt In 4 Wire Full Bridge

    FIGURE 7.11-1. Full Bridge Schematic for 100 ohm PRT 7.11 100 OHM PRT IN 4 WIRE FULL BRIDGE This example describes obtaining the temperature from a 100 ohm PRT in a 4 wire full bridge (Instruction 6). The temperature being measured is in a constant temperature bath and is to be used as the input for a control algorithm.
  • Page 103 SECTION 7. MEASUREMENT PROGRAMMING EXAMPLES coefficient is 0.00385/°C. The change in nonlinearity of a PRT with the temperature coefficient of 0.00392/°C is minute compared with the slope change. Entering a slope correction factor of 0.00385/0.00392 = 0.98214 as the multiplier in Instruction 16 results in a calculated temperature which is well within the accuracy specifications of the PRT.
  • Page 104: Lysimeter - 6 Wire Full Bridge

    FIGURE 7.12-1. Wiring Diagram for Full Bridge Pressure Transducer FIGURE 7.13-1. Lysimeter Weighing Mechanism 7.13 LYSIMETER - 6 WIRE FULL BRIDGE When a long cable is required between a load cell and the CR10, the resistance of the wire can create a substantial error in the measurement if the 4 wire full bridge (Instruction 6) is used to excite and measure the load cell.
  • Page 105: Wire Full Bridge Connection For Load Cell

    SECTION 7. MEASUREMENT PROGRAMMING EXAMPLES FIGURE 7.13-2. 6 Wire Full Bridge Connection for Load Cell copper changes 0.4% per degree C change in temperature. Assume that the cable between the load cell and the CR10 lays on the soil surface and undergoes a 25°C diurnal temperature fluctuation.
  • Page 106: Gypsum Soil Moisture Block

    The average is used, instead of a sample, in order to cancel out effects of wind loading on the lysimeter. PROGRAM Full BR w/Compensation 2500 mV 60 Hz rejection EX Range 7.5 mV 60 Hz rejection BR Range IN Chan Excite all reps w/EXchan 1 06: 2500 mV Excitation...
  • Page 107: Nonlinear Thermistor In Half Bridge (Model 101 Probe)

    SECTION 7. MEASUREMENT PROGRAMMING EXAMPLES PROGRAM AC Half Bridge Reps 2500 mV fast Range IN Chan Excite all reps w/EXchan 1 05: 2500 mV Excitation Loc [:H20 BARS ] Mult Offset BR Transform Rf[X/(1-X)] Reps Loc [:H20 BARS ] Multiplier (Rf) Polynomial Reps X Loc H20 BARS...
  • Page 108: Water Level - Geokon'svibrating Wire Pressure Sensor

    PROGRAM Excite,Delay,Volt(SE) Reps 2500 mV 60 Hz rejection Range IN Chan Excite all reps w/EXchan 1 Delay (units .01sec) 06: 2000 mV Excitation Loc [:TEMP C #1] .001 Mult Offset Polynomial Reps X Loc TEMP C #1 F(X) Loc [:TEMP C #1] -53.784 147.97 -218.76...
  • Page 109: Calibration Data For Sensor 3998

    SECTION 7. MEASUREMENT PROGRAMMING EXAMPLES The following calculations are based on using a Geokon model 4500 Vibrating Wire sensor. An individual multiplier and offset must be calculated for each sensor used in a system. MULTIPLIER The fundamental equation relating frequency to pressure is P = -F G + B where...
  • Page 110 SECTION 7. MEASUREMENT PROGRAMMING EXAMPLES FIGURE 7.16-2. Well Monitoring Example 7-17...
  • Page 111: Hook Up To Avw1

    SECTION 7. MEASUREMENT PROGRAMMING EXAMPLES Program: AVW1 & CR10 USED TO MEASURE 1 GEOKON VIBRATING WIRE SENSOR. Table 1 Programs Sec. Execution Interval Excite,Delay,Volt(SE) 2500 mV fast Range IN Chan Excite all reps w/EXchan 1 Delay (units .01sec) 06: 2500 mV Excitation Loc [:TEMP .001...
  • Page 112: Paroscientific "T" Series Pressure Transducer

    Offset Z=X+F X Loc TEMP Z Loc [:TEMP COMP] Z=X*F X Loc TEMP COMP -.0698 Z Loc [:TEMP COMP] Z=X+Y X Loc TEMP COMP Y Loc PRESSURE Z Loc [:PRESSURE ] If X<=>F X Loc CMPILE CK Then Do Z=X+F X Loc PRESSURE 47.23 Z Loc [:OFFSET ]...
  • Page 113 SECTION 7. MEASUREMENT PROGRAMMING EXAMPLES Time out calculations using a recommended 9000 and 5000 cycles for temperature and pressure at the maximum frequency are shown below. Time out for temperature: 6, 5.22 = (5.8*10 )(9000/0.01) Time out for pressure: 16, 15.5 = (3.1*10 )(5000/0.01) If the time out expires before the requested...
  • Page 114: Cr10/Paroscientific "T" Series Transducer Wiring Diagram

    FIGURE 7.17-1. CR10/Paroscientific "T" Series Transducer Wiring Diagram Subroutine 1, which loads the coefficients into input locations, is called only on the first execution following program compilation. The temperature frequency is read on single- ended Channel 12 and pressure is measured on single-ended Channel 1.
  • Page 115 SECTION 7. MEASUREMENT PROGRAMMING EXAMPLES Z=X-Y X Loc UT us Y Loc Uo Z Loc [:U Block Move No. of Values First Source Loc Y4 DUMMY Source Step Destination Loc [:POLLY M4 ] Destination Step Call Subroutine 2 X Loc SCRATCH 1 Z Loc [:TEMP C ] Block Move No.
  • Page 116 End Table 1 Table 3 Subroutines Beginning of Subroutine Subroutine Number 5.8603 Exponent of 10 Z Loc [:Uo Exponent of 10 Z Loc [:Y0 DUMMY ] 01: -3970.3 Exponent of 10 Z Loc [:Y1 01: -7114.3 Exponent of 10 Z Loc [:Y2 102.78 Exponent of 10 Z Loc [:Y3...
  • Page 117: Sdm Peripherals

    SECTION 7. MEASUREMENT PROGRAMMING EXAMPLES Exponent of 10 Z Loc [:SCRATCH 1] Exponent of 10 Z Loc [:SCRATCH 2] Exponent of 10 Z Loc [:CMPILE CK] Beginning of Subroutine Subroutine Number 36 Z=X*Y X Loc POLLY M4 Y Loc U Z Loc [:SCRATCH 1] Z=X+Y X Loc POLY M3...
  • Page 118 converts the readings to engineering units. Temperature (°C), pressure (psi), and signature are stored in Locations 17, 18, and 19, respectively. Instructions to output the readings to Final Storage are not included in this example. Table 1 Programs Sec. Execution Interval If the program has just compiled, a 0 is in Loc 20.
  • Page 119 SECTION 7. MEASUREMENT PROGRAMMING EXAMPLES 21.801 Exponent of 10 Z Loc [:T3 ] Exponent of 10 Z Loc [:T4 ] Exponent of 10 Z Loc [:T5 ] Exponent of 10 Z Loc [:COMP CHK] End Table 3 INPUT LOCATION LABELS: 1:TEMP, us 11:D2 2:PRESS us...
  • Page 120: Computation Of Running Average

    SECTION 8. PROCESSING AND PROGRAM CONTROL EXAMPLES The following examples are intended to illustrate the use of Processing and Program Control Instructions, flags, dual Final Storage, and the capability to direct the results of Output Processing Instructions to Input Storage. The specific examples may not be as important as some of the techniques employed, for example: Directing Output Processing to Input Storage is used in the Running Average and Rainfall Intensity examples (8.1 and 8.2).
  • Page 121: Rainfall Intensity

    SECTION 8. PROCESSING AND PROGRAM CONTROL EXAMPLES Block Move No. of Values First Source Loc Temp i-8 Source Step First Dest. Loc [:Temp i-9 ] Destination Step Set high Flag 0 (output) Sample Reps Loc 10smpl av End Table 1 In the above example, all samples for the average are stored in input locations.
  • Page 122: Processing And Program Control Examples

    Input Location Labels: 1:Rain (mm) 2:15min tot Table 1 Programs Sec. Execution Interval Pulse Pulse Input Chan Switch Closure Loc [:Rain (mm)] .254 Mult Offset If time is minutes into a minute interval Set high Flag 0 (output) Set Active Storage Area Input Storage Area Array ID or location Totalize...
  • Page 123: Am416 Wiring Diagram For Thermocouple And Soil Moisture Block Measurements

    SECTION 8. PROCESSING AND PROGRAM CONTROL EXAMPLES FIGURE 8.3-1. AM416 Wiring Diagram For Thermocouple and Soil Moisture Block Measurements EXAMPLE PROGRAM MULTIPLEXING THERMOCOUPLES AND SOIL MOISTURE BLOCK Table 1 Programs Sec. Execution Interval Temp 107 Probe IN Chan Excite all reps w/EXchan 1 Loc [:REF TEMP ] Mult Offset...
  • Page 124: Sub 1 Minute Output Interval Synched To Real Time

    End Table 1 8.4 SUB 1 MINUTE OUTPUT INTERVAL SYNCHED TO REAL TIME Output can be synchronized to seconds by pressing “-” or “C” while entering the first parameter in Instruction 92. If a counter, incremented within the program, was used to determine when to set the Output Flag, output would depend on the number of times the table was executed.
  • Page 125: Connections For Rain Gage

    SECTION 8. PROCESSING AND PROGRAM CONTROL EXAMPLES situation, it is more likely that the pulse counters would be used for 2 wind speeds.) In Program Table 1, the 2 normal pulse inputs are read and the hourly totals output to Final Storage with Instruction 72.
  • Page 126: Sdm-A04 Analog Output Multiplexer To Strip Chart

    8.6 SDM-A04 ANALOG OUTPUT MULTIPLEXER TO STRIP CHART This example illustrates the use of the SDM- A04 4 Channel Analog Output Multiplexer to output 4 analog voltages to a strip chart. While of questionable value because of current requirements and strip chart reliability, some archaic regulations require strip chart backup on weather data.
  • Page 127: Converting 0-360 Wind Direction Output To 0-540 For Strip Chart

    SECTION 8. PROCESSING AND PROGRAM CONTROL EXAMPLES 103 SDM-A04 Reps Address Loc WS output If time is minutes into a minute interval Set high Flag 0 (output) Wind Vector Samples per sub-interval Polar Sensor/(S ,D1, SD1) Wind Speed/East Loc WS Wind Direction/North Loc 0-360 WD Average...
  • Page 128: Use Of 2 Final Storage Areas - Saving Data Prior To Event

    End Table 3 8.8 USE OF 2 FINAL STORAGE AREAS - SAVING DATA PRIOR TO EVENT One of the uses of 2 Final Storage Areas is to save a fixed amount of data before and after some event. In this example, a load cell is measured every second.
  • Page 129: Logarithmic Sampling Using Loops

    SECTION 8. PROCESSING AND PROGRAM CONTROL EXAMPLES Else Z=X+F X Loc DOWN CNT Z Loc [:DOWN CNT ] End Table 1 Mode 10 Memory Allocation Input Locations Intermediate Locations Final Storage Area 2 8.9 LOGARITHMIC SAMPLING USING LOOPS A ground water pump test requires that water level be measured and recorded according to the following schedule.
  • Page 130 Call Subroutine 1 Loop 4, Output every 2 minutes for 200 minutes Beginning of Loop Delay Loop Count SECTION 8. PROCESSING AND PROGRAM CONTROL EXAMPLES 8-11...
  • Page 131 SECTION 8. PROCESSING AND PROGRAM CONTROL EXAMPLES Call Subroutine 1 Loop 5, Output every 5 minutes for 700 minutes Beginning of Loop Delay Loop Count Call Subroutine 1 Loop 6, Output every 10 minutes until stopped by user Beginning of Loop Delay Loop Count Call Subroutine 1...
  • Page 132 SECTION 8. PROCESSING AND PROGRAM CONTROL EXAMPLES This is a blank page. 8-13...
  • Page 133: Input/Output Instructions

    SECTION 9. INPUT/OUTPUT INSTRUCTIONS TABLE 9-1. Input Voltage Ranges and Codes Range Code Slow Fast 60 Hz 2.72ms 250µs Reject Integ. Integ. * Differential measurement; resolution for single-ended measurement is twice value shown. NOTE: When a voltage input exceeds the range programmed, the value which is stored is set to the maximum negative number and displayed as -99999 in high resolution or -6999 in low resolution.
  • Page 134 SECTION 9. INPUT/OUTPUT INSTRUCTIONS maximum input voltage is +20 volts. A problem, however, arises when the pulse is actually a low frequency signal (below about 10 FIGURE 9-1. Conditioning for Long Duration Voltage Pulses When this happens, the excess voltage is shunted to the CR10 5 VDC supply, with the current limited by an internal 10 Kohm resistor.
  • Page 135: Pulse Count Configuration Codes

    is dependent upon the sampling interval (e.g., speed, RPM), the value from the excessive interval should be discarded. If the value is discarded the value in the RAM buffer from the previous measurement will be used. There is also an option to output the count as a frequency (i.e., counts/execution interval in seconds = Hz) as well as discard the result from an excessive interval.
  • Page 136 SECTION 9. INPUT/OUTPUT INSTRUCTIONS PARAM. DATA NUMBER TYPE DESCRIPTION Repetitions Range Code (Table 9- Single-ended channel number Excitation channel number Excitation voltage (millivolts) Input location number for first measurement Multiplier Offset Input locations altered: 1 per measurement *** 6 FULL BRIDGE WITH SINGLE *** DIFFERENTIAL MEASUREMENT FUNCTION This Instruction is used to apply an excitation...
  • Page 137 is specified, the inputs for the differential measurement are not switched for a second integration as is normally the case. With the 0 delay, Instruction 8 does not have as good resolution or common mode rejection as other differential measurements. It does provide a very rapid means of making bridge measurements.
  • Page 138 SECTION 9. INPUT/OUTPUT INSTRUCTIONS Thermistor Probe, makes a fast, single-ended voltage measurement across a resistor in series with the thermistor, and calculates the temperature in °C with a polynomial. A 1 before the excitation channel number (1X) causes the channel to be incremented with each repetition.
  • Page 139 SECTION 9. INPUT/OUTPUT INSTRUCTIONS to the calculated reference voltage, then converts the voltage to temperature in °C.
  • Page 140: Thermocouple Type Codes

    SECTION 9. INPUT/OUTPUT INSTRUCTIONS TABLE 9-3. Thermocouple Type Codes Code Thermocouple Type T (copper - constantan) E (chromel - constantan) K (chromel - alumel) J (iron - constantan) TABLE 9-4. Voltage and Temperature Ranges for Thermocouples Voltage Range Type T ±2.5 mV -46 to 50 ±7.5 mV...
  • Page 141 is +0.006° at -200°C and -0.006° at +850°C. The input must be the ratio Rs/Ro, where Rs is the RTD resistance and Ro the resistance of the RTD at 0°C (Sections 7.9 and 7.10). PARAM. DATA NUMBER TYPE DESCRIPTION Repetitions Input location of Rs/Ro Input location of result Multiplier...
  • Page 142: Port Configuration Option Codes

    SECTION 9. INPUT/OUTPUT INSTRUCTIONS Pulse duration, initiated by a program control instruction, can be set for each control port (Table 12-2). Instruction 20 does not pulse the port, it only sets the duration. If Instruction 20 is not used to set the duration, the pulse command will result in a 10 ms pulse.
  • Page 143 When triggering on options 0 or 2, the measurement on the first specified channel (Parameter 3) is compared to the limit specified in Parameter 8. The user's multiplier and offset are not applied before the comparison: the limit must be entered in units of millivolts. If a digital trigger (low <...
  • Page 144 SECTION 9. INPUT/OUTPUT INSTRUCTIONS general purpose data reduction program also contained in PC208. If SPLIT is not available for converting the raw A/D, the following A/D format information is provided for decoding purposes. At the start of the series of measurements, the CR10 makes a self-calibration measurement.
  • Page 145 Single-ended or differential channel for first analog measurements Option, 4 digit code ABCD Trigger 0 - Trigger on 1st analog channel 1 - Digital trigger on Control Port #1 2 Same as 0, but set Digital Control Port #1 high when trigger is met, low when done measuring...
  • Page 146 SECTION 9. INPUT/OUTPUT INSTRUCTIONS NOTE: Voltages in excess of 5.5 volts applied to a control port can cause the CR10 to malfunction. 9-14...
  • Page 147 PARAM. DATA NUM. TYPE DESCRIPTION MASK (0-255) INPUT LOCATION TO STORE RESULT Input locations altered: *** 26 TIMER *** FUNCTION This instruction will reset a timer or store the elapsed time registered by the timer in seconds in an Input Storage location. Instruction 26 can be used with Program Control Instructions to measure the elapsed time between specific input conditions.
  • Page 148 SECTION 9. INPUT/OUTPUT INSTRUCTIONS of the measurement. An AVW1 or AVW4 Vibrating Wire Interface is usually required for these sensors. PARAM. DATA NUMBER TYPE DESCRIPTION Repetitions Hit C (--) to skip repeat of excitation Single-ended channel for first measurement Excitation Channel Start frequency of sweep (100'S of Hz) End frequency of...
  • Page 149 If more channels are requested than exist in one module, the datalogger automatically increments the address and continues to the next SW8A. The address settings for multiple SW8A's must sequentially increase. For example, assume 2 SW8A's with an address of 22 and 23 are connected, and 12 Reps are requested.
  • Page 150 SECTION 9. INPUT/OUTPUT INSTRUCTIONS of each of the 16 control ports. Up to 16 SDM- CD16AC's may be addressed, making it possible to control a maximum of 256 ports from the first three datalogger control ports. For each Rep, the 16 ports of the addressed SDM-CD16AC are sent according to 16 sequential input locations starting at the input location specified in parameter 3.
  • Page 151 altered. Sequential locations will contain values from previous measurements. TRANSPARENT MODE The SDI-12 transparent mode is used to communicate directly with a SDI-12 sensor. A common application of the transparent mode is to verify proper SDI-12 sensor operation. A computer or terminal is required to use the transparent mode;...
  • Page 152 SECTION 9. INPUT/OUTPUT INSTRUCTIONS data line became active. If this occurs the sensor CR10 will not respond to the SDI-12 recorder. Most instructions execute fast enough that when Instruction 106 misses the initial SDI- 12 address, a subsequent retry by the recorder will work.
  • Page 153 SECTION 9. INPUT/OUTPUT INSTRUCTIONS PARAMETER 3. LOCATION This parameter determines the starting input location for the 'n' values to be returned to the recorder. The 'M' or 'M1-M9' command issued by the SDI-12 recorder determines if the starting location is actually that specified in Parameter 3 or a multiple of 'n' past Parameter Starting input location = Parameter 3 + (n*x), where n is specified in Parameter 2, and, x is...
  • Page 154 SECTION 10. PROCESSING INSTRUCTIONS To facilitate cross referencing, parameter descriptions are keyed [ ] to the values given on the PROMPT SHEET. These values are defined as follows: [Z] = Destination input location for result [X] = Input location of X [Y] = Input location of Y [F] = Fixed Data (user specified floating point number)
  • Page 155 SECTION 10. PROCESSING INSTRUCTIONS *** 36 X * Y *** FUNCTION Multiply X by Y and place the result in an input location (Z). PARAM. DATA NUMBER TYPE DESCRIPTION Input location of X Input location of Y Dest. input location for Input locations altered: *** 37 X * F *** FUNCTION...
  • Page 156 *** 43 ABS(X) *** FUNCTION Take the absolute (ABS) value of X and place the result in an input location. PARAM. DATA NUMBER TYPE DESCRIPTION Input location of X Dest. input location for ABS(X) Input locations altered: *** 44 FRACTIONAL VALUE *** FUNCTION Take the fractional (FRAC) value (i.e., the non- integer portion) of X and place the result in an...
  • Page 157 SECTION 10. PROCESSING INSTRUCTIONS Parameter 3 cannot be entered as an indexed location within a loop (Instruction 87). To use Instruction 49 within a loop, enter Parameter 3 as a fixed location and follow 49 with the Instruction 31 (Move Data). In Instruction 31, enter the location in which 49 stores its result as the source (fixed) and enter the destination as an indexed location.
  • Page 158 PARAM. DATA NUMBER TYPE DESCRIPTION Number of values to move 1st source location Step of source 1st destination location Step of destination Intermediate storage: *** 55 5TH ORDER POLYNOMIAL *** FUNCTION Evaluate a 5th order polynomial of the form F(X)=C0+C1X+C2X +C3X +C4X where C0 through C5 are the coefficients for...
  • Page 159 SECTION 10. PROCESSING INSTRUCTIONS Although the algorithm requires an air pressure entry, the daily fluctuations are small enough that for most applications a fixed entry of the standard pressure at the site elevation will suffice. If a pressure sensor is employed, the current pressure can be used.
  • Page 160 PARAM. DATA NUMBER TYPE DESCRIPTION Source input location Dest. input location Input locations altered: *** 63 PARAMETER EXTENSION *** Instruction 63 is used immediately following Instructions 97 or 98 to allow the entry of a variable number of parameters. Instruction 63 can be entered several times in sequence if the number of parameters requires it.
  • Page 161 SECTION 10. PROCESSING INSTRUCTIONS Example: The 14 coefficients shown below are for Paroscientific "T" Series transducer Serial Number 30135. Your coefficients will be different. Coeff. Value 5.860253 -3970.348 -7114.265 102779.1 70.29398 6.610141 -119.2867 0.0308837 26.33703 0.8516985 21.80118 and D coefficients are entered as Y and D *1000.
  • Page 162: Output Processing Instructions

    SECTION 11. OUTPUT PROCESSING INSTRUCTIONS *** 69 WIND VECTOR *** FUNCTION Instruction 69 processes the primary variables of wind speed and direction from either polar (wind speed and direction) or orthogonal (fixed East and North propellers) sensors. It uses the raw data to generate the mean wind speed, the mean wind vector magnitude, and the mean wind vector direction over an output interval.
  • Page 163 SECTION 11. OUTPUT PROCESSING INSTRUCTIONS In an example where the scan rate is 1 second and the Output Flag is set every 60 minutes, the standard deviation is calculated from all 3600 scans when the sub-interval is 0. With a sub-interval of 900 scans (15 minutes) the standard deviation is the average of the four sub-interval standard deviations.
  • Page 164 where Ux=(Σsin Θ Uy=(Σcos Θ or, in the case of orthogonal sensors Ux=(Σ(Ue ))/N Uy=(Σ(Un ))/N where U =(Ue Standard deviation of wind direction, σ(Θ1), using Yamartino algorithm: σ(Θ1)=arc sin(ε)[1+0.1547 ε where, ε=[1-((Ux) +(Uy) and Ux and Uy are as defined above. Resultant mean horizontal wind speed, U: U=(Ue where for polar sensors:...
  • Page 165 SECTION 11. OUTPUT PROCESSING INSTRUCTIONS *** 71 AVERAGE *** FUNCTION This instruction stores the average value over the given output interval for each input location specified. PARAM. DATA NUMBER TYPE DESCRIPTION Repetitions Starting input location no. Outputs Generated: 1 for each input location *** 72 TOTALIZE *** FUNCTION This instruction stores totalized value over the...
  • Page 166 values are the contributions of the sub-ranges to the overall weighted value. To obtain the average of the weighted values that occurred while the bin select value was within a particular sub-range, the value output to Final Storage must be divided by the fraction of time that the bin select value was within that particular sub-range (i.e., a standard histogram of the bin select value must also be output).
  • Page 167 SECTION 11. OUTPUT PROCESSING INSTRUCTIONS PARAM. DATA NUMBER TYPE DESCRIPTION Repetitions Number of bins Form code (0=open form, 1=closed form) Bin select value input location no. Weighted value input location no. (0 = frequency distribution option) Lower limit of range Upper limit of range Outputs Generated: Number of Bins * Repetitions...
  • Page 168 Code Result xxx1 SECONDS (with resolution of 0.125 sec.) xx1x HOUR-MINUTE xx2x HOUR-MINUTE, 2400 instead of 0000 x1xx JULIAN DAY x2xx JULIAN DAY, previous day during first minute of new day 1xxx YEAR Any combination of Year, Day, HR-MIN, and seconds is possible (e.g., 1011: YEAR, HR- MIN, SEC).
  • Page 169 SECTION 11. OUTPUT PROCESSING INSTRUCTIONS Repetitions Starting input location Outputs Generated: 1 for each repetition This is a blank page. 11-8...
  • Page 170: Program Control Instructions

    SECTION 12. PROGRAM CONTROL INSTRUCTIONS TABLE 12-1. Flag Description Flag 0 Output Flag Flag 1 to 8 User Flags Flag 9 Intermediate Processing Disable Flag TABLE 12-2. Command Codes Go to end of program table 1-9, 79-98 Call Subroutine 1-9, 79-99 10-19 Set Flag 0-9 high 20-29...
  • Page 171 SECTION 12. PROGRAM CONTROL INSTRUCTIONS PARAM. DATA NUMBER TYPE DESCRIPTION Subroutine number (1-9, 79-99) *** 86 DO *** FUNCTION This Instruction unconditionally executes the specified command. PARAM. DATA NUMBER TYPE DESCRIPTION Command (Table 12-2) *** 87 LOOP *** FUNCTION Instructions included between the Loop Instruction and the End Instruction (95) are repeated the number of times specified by the iteration count (Parameter 2), or until an Exit...
  • Page 172 Note that if the Output Flag is set prior to entering the loop in the above example, 10 values will be output. The first will be the average of all the readings in locations 1-10 since the previous output. Because the Intermediate locations are zeroed each time an output occurs, the next nine values will be the current values (samples at the time of output) of...
  • Page 173: Loop Example: Block Data Transform

    SECTION 12. PROGRAM CONTROL INSTRUCTIONS c) End loop with Instruction 95. d) Use the If Time Instruction (#92) to set the Output Flag every hour. e) Use the Average Instruction (#71) with 5 repetitions starting at input location 21 to average the vapor pressure over the hour.
  • Page 174: Example: Loop With Delay

    TABLE 12-4. Example: Loop with Delay Table 1 Programs Sec. Execution Interval Beginning of Loop Delay Loop Count Call Subroutine 1 If X<=>F X Loc DAY >= Exit Loop if true Beginning of Loop Delay Loop Count Call Subroutine 1 If X<=>F X Loc DAY >=...
  • Page 175 SECTION 12. PROGRAM CONTROL INSTRUCTIONS PARAM. DATA NUMBER TYPE DESCRIPTION Increment for the loop index counter *** 91 IF FLAG / PORT *** FUNCTION This Instruction checks the status of one of the ten Flags or one of the eight ports and conditionally performs the specified Command.
  • Page 176 else If Case Location < F 77.3 Then Do Exponent of 10 Z Loc : End Then Do End of Case Statement *** 94 ELSE *** FUNCTION When Command 30 (Then/Else) is used with an If Instruction, the Else Instruction is used to mark the start of the instructions to execute if the test condition is false (Figure 3.8-1).
  • Page 177 SECTION 12. PROGRAM CONTROL INSTRUCTIONS The source of data is the currently active Final Storage Area set by Instruction 80 (default = 0 or 1).NOTE: All memory pointers are positioned 8to the DSP location when the datalogger compiles a program. For this reason, Always retrieve uncollected data before making program changes.
  • Page 178 which the alarm call is initiated. The randomized retry time is divided by the execution interval to determine how many times Instruction 97 must be executed before it calls again. The Instruction must be executed each time the table is. Parameter 2 specifies which user flag (1-8) is to be used as the interrupt disable flag.
  • Page 179 SECTION 12. PROGRAM CONTROL INSTRUCTIONS 1x Addressed Print Device 4x Pin-enabled Print Device x is baud rate code 12-10...
  • Page 180: Fast And Slow Measurement Sequence

    SECTION 13. CR10 MEASUREMENTS 13.1 FAST AND SLOW MEASUREMENT SEQUENCE The CR10 makes voltage measurements by integrating the input signal for a fixed time and then holding the integrated value for the analog to digital (A/D) conversion. The A/D conversion is made with a 13 bit successive approximation technique which resolves the signal voltage to approximately one part in 7500 of the full scale...
  • Page 181: Single-Ended And Differential Voltage Measurements

    SECTION 13. CR10 MEASUREMENTS FIGURE 13.2-1. Timing of Single-Ended Measurement 13.2 SINGLE-ENDED AND DIFFERENTIAL VOLTAGE MEASUREMENTS NOTE: The channel numbering on the old silver CR10 wiring panel refers to differential channels. Either the high or low side of a differential channel can be used for single-ended measurements.
  • Page 182: The Effect Of Sensor Lead Length On The Signal Settling Time

    In order to make a differential measurement, the inputs must be within the CR10 common mode range of ±2.5 V. The common mode range is the voltage range, relative to CR10 ground, within which both inputs of a differential measurement must lie in order for the differential measurement to be made.
  • Page 183: Exponential Decay, Percent Of Maximum Error Vs. Time In Units Of Τ

    SECTION 13. CR10 MEASUREMENTS discussed for minimizing input settling error FIGURE 13.3-1. Input Voltage Rise and Transient Decay 13.3.1 THE INPUT SETTLING TIME CONSTANT The rate at which an input voltage rises to its full value or that a transient decays to the correct input level are both determined by the input settling time constant.
  • Page 184: Typical Resistive Half Bridge

    Before proceeding with examples of the effect of long lead lengths on the measurement, a FIGURE 13.3-2. Typical Resistive Half Bridge FIGURE 13.3-3. Source Resistance Model for Half Bridge Connected to the CR10 DETERMINING SOURCE RESISTANCE The source resistance used to estimate the settling time constant is the resistance the CR10 input "sees"...
  • Page 185: Properties Of Three Belden Lead Wires Used By Campbell Scientific

    SECTION 13. CR10 MEASUREMENTS FIGURE 13.3-4. Wire Manufacturers Capacitance Specifications, C TABLE 13.3-2. Properties of Three Belden Lead Wires Used by Campbell Scientific Belden Wire # Conductors 8641 1 shld. pair 8771 1 shld. 3 cond. 8723 2 shld. pair DIELECTRIC ABSORPTION The dielectric absorption of insulation surrounding individual conductors can seriously...
  • Page 186: Settling Error, In Degrees, For 024A Wind Direction Sensor Vs. Lead Length

    FIGURE 13.3-6. Resistive Half Bridge Connected to Single-Ended CR10 Input , the source resistance, is not constant because R varies from 0 to 10 kohms over the 0 to 360 degree wind direction range. The source resistance is given by: )/(R )) = (20k-R...
  • Page 187: Measured Peak Excitation Transients For 1000 Foot Lengths Of Three Belden Lead Wires Used By Campbell Scientific

    SECTION 13. CR10 MEASUREMENTS TABLE 13.3-4. Measured Peak Excitation Transients for 1000 Foot Lengths of Three Belden Lead Wires Used by Campbell Scientific Vx(mV) 8641 2000 1000 NOTE: Excitation transients are eliminated if excitation leads are contained in a shield independent from the signal leads.
  • Page 188: Summary Of Input Settling Data For Campbell Scientific Resistive Sensors

    TABLE 13.3-5. Summary of Input Settling Data For Campbell Scientific Resistive Sensors Sensor Belden Model # Wire # (kohms) (pfd/ft.) (us) 8641 207(RH) 8771 WVU-7 8723 8641 8641 024A 8771 Estimated time constants are for 1000 foot lead lengths and include 3.3nfd CR10 input capacitance. ** Measured peak transients for 1000 foot lead lengths at corresponding excitation, V TABLE 13.3-6.
  • Page 189: Source Resistances And Signal Levels For Ysi #44032 Thermistor

    SECTION 13. CR10 MEASUREMENTS source resistance at point P (column 5) is essentially the same as the input source resistance of configuration A. Moving R to the thermistor as shown in Figure 13.3-7C optimizes the signal settling time because it becomes a function of R and C Columns 4 and 7 list the signal voltages as a...
  • Page 190: Half Bridge Configuration For Ysi #44032 Thermistor Connected To Cr10

    SECTION 13. CR10 MEASUREMENTS FIGURE 13.3-7. Half Bridge Configuration for YSI #44032 Thermistor Connected to CR10 Showing: A) large source resistance, B) large source resistance at point P, and C) configuration optimized for input settling 13-11...
  • Page 191: Thermocouple Measurements

    SECTION 13. CR10 MEASUREMENTS FIGURE 13.3-8. Measuring Input Settling Error with the CR10 FIGURE 13.3-9. Incorrect Lead Wire Extension on Model 107 Temperature Sensor 13.4 THERMOCOUPLE MEASUREMENTS A thermocouple consists of two wires, each of a different metal or alloy, which are joined together at each end.
  • Page 192: Limits Of Error For Thermocouple Wire

    13.4.1 ERROR ANALYSIS The error in the measurement of a thermocouple temperature is the sum of the errors in the reference junction temperature, the thermocouple output (deviation from standards published in NBS Monograph 125), the thermocouple voltage measurement, and the polynomial error (difference between NBS standard and CR10 polynomial approximations).
  • Page 193: Thermistor Polynomial Error

    SECTION 13. CR10 MEASUREMENTS FIGURE 13.4-1. Thermistor Polynomial Error When both junctions of a thermocouple are at the same temperature, there is no voltage produced (law of intermediate metals). A consequence of this is that a thermocouple cannot have an offset error;...
  • Page 194 temperature due to the voltage measurements is a few hundredths of a degree. THERMOCOUPLE POLYNOMIALS - Voltage to Temperature Conversion NBS Monograph 125 gives high order polynomials for computing the output voltage of a given thermocouple type over a broad range of temperatures.
  • Page 195: Example Of Errors In Thermocouple Temperature

    SECTION 13. CR10 MEASUREMENTS indicating 25.3°C, and the terminal that the thermocouple is connected to is 0.3°C cooler than the RTD. TABLE 13.4-4. Example of Errors in Thermocouple Temperature Error °C Source Reference Temp. 0.6 TC Output ANSI 0.01 x 20 Voltage Measurement 0.06...
  • Page 196: Bridge Resistance Measurements

    Radiation shielding must be provided when a junction box is installed in the field. Care must also be taken that a thermal gradient is not induced by conduction through the incoming wires. The CR10 can be used to measure the temperature gradients within the junction box.
  • Page 197 SECTION 13. CR10 MEASUREMENTS FIGURE 13.5-1. Circuits Used with Instructions 4-9 13-18...
  • Page 198: Excitation And Measurement Sequence For 4 Wire Full Bridge

    FIGURE 13.5-2. Excitation and Measurement Sequence for 4 Wire Full Bridge TABLE 13.5-1. Comparison of Bridge Measurement Instructions Instr. # Circuit Description DC Half Bridge The delay parameter allows the user entered settling time com- pensate for capacitance in long lead lengths. No polarity reversal.
  • Page 199: Calculating Resistance Values From Bridge Measurement

    SECTION 13. CR10 MEASUREMENTS Calculating the actual resistance of a sensor which is one of the legs of a resistive bridge usually requires the use of one or two Processing Instructions in addition to the bridge measurement instruction. Instruction 59 takes a value, X, in a specified input location and computes the value MX/(1-X), where M is the TABLE 13.5-2.
  • Page 200: Resistance Measurements Requiring Ac Excitation

    13.6 RESISTANCE MEASUREMENTS REQUIRING AC EXCITATION Some resistive sensors require AC excitation. These include the 207 Relative Humidity Probe, soil moisture blocks, water conductivity sensors, and wetness sensing grids. The use of DC excitation with these sensors can result in polarization, which will cause an erroneous measurement, and may shift the calibration of the sensor and/or lead to its rapid decay.
  • Page 201: Calibration Process

    SECTION 13. CR10 MEASUREMENTS FIGURE 13.6-2. Model of Resistive Sensor with Ground Loop In Figure 13.6-2, V is the excitation voltage, R is a fixed resistor, R is the sensor resistance, and R is the resistance between the excited electrode and CR10 earth ground. With R the network, the measured signal is: __________________ ) + R...
  • Page 202 seconds). If the processing time exceeds the execution interval the CR10 finishes processing the table and awaits the next occurrence of the execution interval before initiating the table. At the fastest execution interval of 1/64 (0.0156) second the program table WILL be overrun by the automatic calibration.
  • Page 203 SECTION 13. CR10 MEASUREMENTS This is a blank page. 13-24...
  • Page 204: Installation And Maintenance

    SECTION 14. INSTALLATION AND MAINTENANCE 14.1 PROTECTION FROM THE ENVIRONMENT The normal environmental variables of concern are temperature and moisture. The standard CR10 is designed to operate reliably from -25 to +50°C (-55° to +85°C, optional). Internal moisture is eliminated by sealing the module at the factory with three packets of silica gel (0.75 g each) inside.
  • Page 205: Campbell Scientific Power Supplies

    SECTION 14. INSTALLATION AND MAINTENANCE System operating time for the batteries can be determined by dividing the battery capacity (amp-hours) by the average system current drain. The CR10 draws <1 mA in the quiescent state, 13 mA while processing, and 46 mA during an analog measurement;...
  • Page 206 SECTION 14. INSTALLATION AND MAINTENANCE monitor battery voltage. Replace the alkaline cells before the CR10 battery voltage drops below 9.6 V. 14-3...
  • Page 207: Typical Alkaline Battery Service And Temperature

    SECTION 14. INSTALLATION AND MAINTENANCE FIGURE 14.3-1. PS12 12 Volt Power Supply and Charging Regulator TABLE 14.3-1. Typical Alkaline Battery Service and Temperature Temperature (°C) % of 20°C Service 20 - 50 NOTE: This data is based on one "D" cell under conditions of 50 mA current drain with a 30 ohm load.
  • Page 208 charging source is interrupted. The PS12LA specifications are given in Table 14.3-2. The two leads from the charging source can be inserted into either of the CHG ports, polarity doesn't matter. A transzorb provides transient protection to the charging circuit. A sustained input voltage in excess of 40 V will cause the transzorb to limit voltage.
  • Page 209: Ps12La Battery And Ac Transformer Specifications

    SECTION 14. INSTALLATION AND MAINTENANCE TABLE 14.3-2. PS12LA Battery and AC Transformer Specifications Lead Acid Battery Battery Type Yuasa NA 7-12 Float Life @ 25°C 5 years typical Capacity 7.0 amp-hour Shelf Life, full charge Check twice yearly Charge Time (AC Source) 40 hr full charge, 20 hr 95% charge AC Transformer Input:...
  • Page 210: Solar Panels

    14.4 SOLAR PANELS Auxiliary photovoltaic power sources may be used to maintain charge on lead acid batteries. When selecting a solar panel, a rule-of-thumb is that on a stormy overcast day the panel should provide enough charge to meet the system current drain (assume 10% of average annual global radiation, kW/m ).
  • Page 211: Grounding

    SECTION 14. INSTALLATION AND MAINTENANCE 14.7 GROUNDING 14.7.1 PROTECTION FROM LIGHTNING Primary lightning strikes are those where lightning hits the datalogger or sensors directly. Secondary strikes occur when the lightning strikes somewhere near the system and induces a voltage in the wires. The purpose of an earth ground is to minimize damage to the system by providing a low resistance path around the system to a point of low potential.
  • Page 212: Wiring Panel

    In the field, an earth ground may be created through a grounding rod. A 12 AWG or larger wire should be run between a Wiring Panel power ground (G) terminal and the earth ground. Campbell Scientific's CM10 and CM6 Tripods come complete with ground and lightning rods, grounding wires, and appropriate ground wire clamps.
  • Page 213: Relay Driver Circuit With Relay

    SECTION 14. INSTALLATION AND MAINTENANCE Scientific offers the A21REL-12 Four Channel Relay Driver (12 V coil) and the A6REL-12 Six Channel Relay Driver with manual override (12 V coil) for use with the CR10. In other applications it may be desirable to simply switch power to a device without going through a relay.
  • Page 214: Maintenance

    SECTION 14. INSTALLATION AND MAINTENANCE 14.11 MAINTENANCE The CR10 Wiring Panel and power supplies require a minimum of routine maintenance. When not in use, the PS12LA should be stored in a cool, dry environment with the AC charging circuit activated. The PS12ALK alkaline supply should not drop below 9.6 V before replacement.
  • Page 215 SECTION 14. INSTALLATION AND MAINTENANCE This is a blank page. 14-12...
  • Page 216: Glossary

    ASCII: Abbreviation for American Standard Code for Information Interchange (pronounced "askee"). A specific binary code of 128 characters represented by 7 bit binary numbers. ASYNCHRONOUS: The transmission of data between a transmitting and a receiving device occurs as a series of zeros and ones. For the data to be "read"...
  • Page 217 APPENDIX A. GLOSSARY normally remains constant, to be incremented with each repetition. INPUT STORAGE: That portion of memory allocated for the storage of results of Input and Processing Instructions. The values in Input Storage can be displayed and altered in the *6 Mode.
  • Page 218 APPENDIX A. GLOSSARY and computers in a terminal mode fall in this category.
  • Page 219 APPENDIX A. GLOSSARY PRINT PERIPHERAL: See Print Device. PROCESSING INSTRUCTIONS: These Instructions allow the user to further process input data values and return the result to Input Storage where it can be accessed for output processing. Arithmetic and transcendental functions are included in these Instructions. PROGRAM CONTROL INSTRUCTIONS: Used to modify the sequence of execution of Instructions contained in Program Tables;...
  • Page 220 APPENDIX A. GLOSSARY This is a blank page.
  • Page 221: Prom Signature And Version

    APPENDIX B. CR10 PROM SIGNATURE AND OPTIONAL SOFTWARE B.1 PROM SIGNATURE AND VERSION The CR10 PROM signature is viewed by entering the *B Mode and advancing to window 2 (Section 1.6). The version number is in window 6 and the revision number in window 7. PROM SIGNATURE DESCRIPTION...
  • Page 222: Cr10 Prom Signature

    APPENDIX B. CR10 PROM SIGNATURE AND OPTIONAL SOFTWARE CR10 PROM contains one of the following options then detailed information on the special option(s) will be placed in Appendix H. 13,14 ADD R, S, & B THERMOCOUPLE LINEARIZATIONS In addition to the linearizations for the T, E, J, and K thermocouples, Instructions 13 and 14 have the R, S, and B thermocouple linearizations.
  • Page 223: Telecommunications Command With Binary Responses

    APPENDIX C. BINARY TELECOMMUNICATIONS C.1 TELECOMMUNICATIONS COMMAND WITH BINARY RESPONSES Command Description [no. of loc.]F BINARY DUMP - CR10 sends, in Final Storage Format (binary, the number of Final Storage locations specified (from current MPTR locations), then Signature (no prompt). DATALOGGER J AND K COMMANDS 3142J The 3142J command is used to toggle datalogger user flags, request final storage...
  • Page 224 APPENDIX C. BINARY TELECOMMUNICATIONS User Datalogger Enters Echo Time Minutes byte 1 Time Minutes byte 2 Time Tenths byte 1 Time Tenths byte 2 Flags byte Ports byte (if requested) Data1 byte 1 Data1 byte 2 Data1 byte 3 Data1 byte 4 Data2 byte 1 Data2 byte 2 Data2 byte 3...
  • Page 225: Final Storage Format

    As an example of a negative value, the datalogger returns BF 82 0C 49 HEX. Data byte 1 = BF HEX. Data byte 2 to 4 = 82 0C 49 HEX (or 8522825 decimal). Data byte 1 is converted to binary to find the Sign.
  • Page 226 APPENDIX C. BINARY TELECOMMUNICATIONS Representing the bits in the first byte of each two byte pair as ABCD EFGH (A is the most significant bit, MSB), the byte pairs are described here. LO RESOLUTION FORMAT - D,E,F, NOT ALL ONES Bits Description Polarity, 0 = +, 1 = -.
  • Page 227: Generation Of Signature

    CSI defines the largest allowable range of a high resolution number to be 99999. Interpretation of the decimal locator for a 4 byte data value is given below. The decimal equivalent of bits GH is the negative exponent to the base 10. BITS DECIMAL FORMAT G H A...
  • Page 228 APPENDIX C. BINARY TELECOMMUNICATIONS This is a blank page.
  • Page 229: D. Cr10 37 Pin Port Description

    APPENDIX D. CR10 37 PIN PORT DESCRIPTION PIN # DESCRIPTION EX CTRL 3 EX CTRL 2 EX CTRL 1 PIN # DESCRIPTION...
  • Page 230 This is a blank page.
  • Page 231: Ascii Table

    American Standard Code for Information Interchange Dec. Char. CONTROL @ CONTROL A CONTROL B CONTROL C CONTROL D CONTROL E CONTROL F CONTROL G CONTROL H CONTROL I CONTROL J CONTROL K CONTROL L CONTROL M CONTROL N CONTROL O CONTROL P CONTROL Q CONTROL R...
  • Page 232 This is a blank page.
  • Page 233: Disassembling The Cr10

    APPENDIX G. CHANGING RAM OR PROM CHIPS The CR10 has two sockets for Random Access Memory (RAM) and one socket for Programmable Read Only Memory (PROM). The standard CR10 has 64K of RAM, (a 32K RAM chip in each socket). Earlier CR10s had 16K of RAM (an 8K RAM chip in each socket).
  • Page 234: Disassembling Cr10

    APPENDIX G. CHANGING RAM OR PROM CHIPS FIGURE G-1. Disassembling CR10...
  • Page 235: Jumper Settings For Different Ram Configurations

    APPENDIX G. CHANGING RAM OR PROM CHIPS FIGURE G-2. Jumper Settings for Different RAM Configurations in Early CR10s...
  • Page 236: Jumper Settings And Locations

    APPENDIX G. CHANGING RAM OR PROM CHIPS FIGURE G-3. Jumper Settings and Locations...
  • Page 237 APPENDIX G. CHANGING RAM OR PROM CHIPS This is a blank page.
  • Page 238: List Of Tables

    OVERVIEW OV4.1-1 * Mode Summary ... OV-10 OV4.2-1 Key Definition/Editing Functions ... OV-10 OV4.2-2 Additional Keys Allowed In Telecommunications ... OV-11 OV6.1-1 Data Retrieval Methods and Related Instructions ... OV-18 OV6.1-2 Data Retrieval Sections in Manual... OV-18 FUNCTIONAL MODES 1.2-1 Sequence of Time Parameters in *5 Mode...
  • Page 239 LIST OF TABLES TELECOMMUNICATIONS 5.1-1 Telecommunications Commands ... 5-3 9 PIN SERIAL INPUT/OUTPUT 6.1-1 Pin Description ... 6-1 6.6-1 SD Addresses ... 6-5 6.7-1 SC32A Pin Description ... 6-6 6.7-2 DTE Pin Configuration ... 6-6 MEASUREMENT PROGRAMMING EXAMPLES 7.16-1 Calibration Data for Sensor 3998 ... 7-16 7.17-1 Period Averaging Instruction 27...
  • Page 240 14. INSTALLATION AND MAINTENANCE 14.2-1 Typical Current Drain for Common CR10 Peripherals ... 14-1 14.3-1 Typical Alkaline Battery Service and Temperature... 14-3 14.3-2 PS12LA Battery and AC Transformer Specifications ... 14-4 APPENDIX B. CR10 PROM SIGNATURE AND OPTIONAL SOFTWARE CR10 PROM Signature... B-1 CR10 Library Options ...
  • Page 241 LIST OF TABLES This is a blank page. LT-4...
  • Page 242: List Of Figures

    OVERVIEW OV1.1-1 CR10 and Wiring Panel ... OV-2 OV1.1-2 CR10 Wiring Panel/Instruction Access ... OV-3 OV2.1-1 Instruction Types and Storage Areas ... OV-6 OV2.3-1 Program and Subroutine Tables... OV-8 OV6.1-1 Data Retrieval Hardware Options ... OV-19 INTERNAL DATA STORAGE 2.1-1 Ring Memory Representation of Final Data Storage...
  • Page 243 LIST OF FIGURES PROCESSING AND PROGRAM CONTROL EXAMPLES 8.3-1 AM416 Wiring Diagram for Thermocouple and Soil Moisture Block Measurements ... 8-4 8.5-1 Connections for Rain Gage... 8-6 INPUT/OUTPUT INSTRUCTIONS Conditioning for Long Duration Voltage Pulses... 9-2 13. CR10 MEASUREMENTS 13.1-1 50 and 60 Hz Noise Rejection ...
  • Page 244 * Modes, See Modes 1/X - [Instruction 42] 10-2 107 Thermistor Probe - [Instruction 11] 9-5 Programming examples 7-3 CR10TCR Thermocouple Reference 7-3 12V terminals OV-3, OV-4 100 ohm PRT 3 wire half bridge 7-8 4 wire half bridge 7-6 4 wire full bridge 7-9 207 Relative Humidity Probe - [Instruction 12] 9-6 Programming example 7-4...
  • Page 245 CR10 INDEX Effect of lead length on signal settling time 13-3 Tipping bucket rain gage with long leads 7-6 Calibration - [Instruction 24] 9-12 Process 13-22 Cassette recorder 4-4 Cautionary notes vii CD16, see SDM-CD16 Control Port Expansion Module Channels Differential analog OV-3, 13-2 Single-ended analog OV-3, 13-2 Checksum 5-2...
  • Page 246 DSP 2-1 DSR (Data Set Ready) 6-6 DTE (Data Terminal Equipment) pin configuration 6-6 Duplex, Definition 6-7 Earth Ground OV-4, 14-6 Editing datalogger programs OV-15 Editor errors 3-8 EDLOG OV-12, 5-4 ELSE - [Instruction 94] 12-6 Programming example 8-6 Enclosures, Environmental 14-1 END - [Instruction 95] 12-6 Error codes 3-8 Overranging vi, 3-2...
  • Page 247 CR10 INDEX If X Compared to Y - [Instruction 88] 12-4 Increment Input Location - [Instruction 32] 10-1 Indexed Input Location, Definition A-1 Indexing Input Locations and ports 3-1, A-1 Indirect Indexed Move - [Instruction 61] 10-6 Initiate Telecommunications - [Instruction 97] 12-7 Input Locations Indexing 3-1 Input Storage...
  • Page 248 *2, Program Table 2 1-1 *3, Program Table 3 1-1 *5 - Set/Display Clock 1-2 *6 - Display/Alter Memory and Ports 1-3 *7 - Display Stored Data on Keyboard/Display 2-3 *8 Manually initiated Data Output 4-3 Interrupts during 6-3 Output device codes for 4-2 *9 Commands to Storage Module 4-8 *A Internal Memory Allocation 1-5 *B Memory Test and System Status 1-6...
  • Page 249 CR10 INDEX Output formats 4-6 Save/Load programs (*D Mode) 1-9 Printer Pointer (PPTR) 2-2 Processing Instructions 10-1 Definition OV-6, A-3 Memory and execution times 3-7 Program Control Flags 3-3 Program Control Instructions 12-1 Command code parameter 3-4 Definition OV-4, A-3 Logical constructions 3-4 Memory and execution times 3-8 Programming examples 7-1, 8-1...
  • Page 250 SC90 Serial Line Monitor 4-7 SC92/93 for writing to tape, Don't use 4-4 SC92A/93A 4-4 Scaling Array with Multiplier & Offset - [Instruction 53] 10-4 Programming example 8-7 SDC99 Synchronous Device Interface 6-3 SDM-A04 4 Channel Analog Output Module - [Instruction 103] 9-15 Current drain, Typical 14-1 Programming example 8-7...
  • Page 251 CR10 INDEX Tape Pointer (TPTR) 2-2 Tape recorder Connecting to CR10 4-4 Data format for 4-5 Dump data (*8 Mode) 4-3 Interrupts during transfer 6-3 Manually initiated data transfer (*8 Mode) 4-3 On-line data transfer (Instruction 96) 4-1 TPTR (Tape Pointer) 2-2 Tapes, Recommended 4-4 Telecommunication 5-1 Automatic setting of baud rate 5-1...
  • Page 252 CR10 INDEX YSI 44032 Thermistor source resistance and signal levels 13-10, 13-11 Z = 1/X - [Instruction 42] 10-2 Z = ABS(X) - [Instruction 43] 10-3 Z = EXP(X) - [Instruction 41] 10-2 Z = F - [Instruction 30] 10-1 Z = FRAC(X) - [Instruction 44] 10-3 Z = INT(X) - [Instruction 45] 10-3 Z = LN(X) - [Instruction 40] 10-2...
  • Page 253 CR10 INDEX This is a blank page. I-10...

This manual is also suitable for:

Ds 70

Table of Contents