User1 Button; Reset Button; Reset Button Behavior - National Instruments cRIO-904 Series User Manual

Embedded compactrio controller with real-time processor and reconfigurable fpga
Table of Contents

Advertisement

1: POWER
2: STATUS
IN USE

1. USER1 Button

2. RESET Button

3. CMOS Reset Button
USER1 Button
The cRIO-904x has a general-purpose USER1 button that is user-defined. You can read the
state of the USER1 button from your LabVIEW FPGA application.
RESET Button
Press the RESET button to reset the processor in the same manner as cycling power.
The following figure shows the reset behavior of the cRIO-904x.
Press and hold
RESET button for < 5 s
Press and hold RESET button for ≥ 5 s
Run Mode
Press and hold RESET button for < 5 s
Press and hold RESET button for < 5 s
For more information about using the RESET button for network troubleshooting, see
Troubleshooting Network
Figure 2. cRIO-904x Buttons
1
1 2
3 4
3:
USER1
4: USER
10/100
FPGA1
USER1
/1000
0
ACT/
LINK
SD
PFI 0
10/100
SYNC
/1000
1
ACT/
LINK
RS-232
RESET
INPUT
9–30 V
60 W MAX
V1
V1
C
RS-485
C
V2
V2
C
2
Figure 3. Reset Button Behavior
Connectivity.
3
• Console Out enabled
Safe Mode
• RT Startup App disabled
• FPGA Startup App disabled
Press and hold RESET button for ≥ 5 s
• Console Out enabled
• Network settings reset
Safe Mode
• RT Startup App disabled
• FPGA Startup App disabled
Press and hold
RESET button for ≥ 5 s
cRIO-904x User Manual | © National Instruments | 13

Hide quick links:

Advertisement

Table of Contents
loading

Table of Contents