Ni 6587 Component-Level Intellectual Property (Clip) - National Instruments NI-6587 Getting Started Manual

Flexrio high-speed digital i/o adapter module
Table of Contents

Advertisement

Strobe Bypass Control
from NI FlexRIO FPGA Module
(GPIO_8_n)
Acquisition Bank I/O Clock
to NI FlexRIO FPGA Module
GPIO_56_CC
GPIO_56_n_CC
Generation Bank I/O Clock
to NI FlexRIO FPGA Module
GPIO_26_CC
GPIO_26_n_CC
PFI Bank I/O Clock
to NI FlexRIO FPGA Module
GPIO_38_CC
GPIO_38_n_CC
Global Clock
to NI FlexRIO FPGA Module
UserGclkLvds
UserGclkLvds_n
Related Information

NI 6587 Component-Level Intellectual Property (CLIP)

NI 6587 Component-Level Intellectual Property
(CLIP)
The LabVIEW FPGA Module includes component-level intellectual property (CLIP) for HDL
IP integration. NI FlexRIO devices support two types of CLIP: user-defined and socketed.
User-defined CLIP allows you to insert HDL IP into an FPGA target, enabling VHDL
code to communicate directly with an FPGA VI.
Socketed CLIP provides the same IP integration of the user-defined CLIP, but also allows
the CLIP to communicate directly with circuitry external to the FPGA. Adapter module
socketed CLIP allows your IP to communicate directly with both the FPGA VI and the
external adapter module connector interface.
The following figure shows the relationship between an FPGA VI and the CLIP.
Figure 8. Crosspoint Switch
2
2
2
2
2
2
Crosspoint
NI 6587 Getting Started Guide | © National Instruments | 9
2
2
2
2
4×4
Switch
on page 9
STROBE
2
Sync Clock (PXIe Only)
Adapter Module Onboard Clock
Reserved

Advertisement

Table of Contents
loading

Table of Contents