Ni 6583 Component-Level Intellectual Property (Clip) - National Instruments 6583R User Manual And Specifications

Table of Contents

Advertisement

NI 6583 Component-Level Intellectual Property (CLIP)

The LabVIEW FPGA Module includes a feature for HDL IP integration called CLIP. NI FlexRIO
devices support two types of CLIP: user-defined and socketed.
User-defined CLIP allows users to insert HDL IP into an FPGA target, enabling VHDL code to
communicate directly with an FPGA VI.
Socketed CLIP provides the same IP integration functionality of the user-defined CLIP, but also
allows the CLIP to communicate directly with circuitry external to the FPGA. Adapter module
socketed CLIP allows your IP to communicate directly with both the FPGA VI and the external
adapter module connector interface.
Figure 16 shows the relationship between an FPGA VI and CLIP.
NI FlexRIO FPGA Module
User-Defined
CLIP
DRAM 1
CLIP Socket
Socketed
CLIP
DRAM0
© National Instruments Corporation
FPGA
User-Defined
CLIP
Adapter Module
CLIP Socket
LabVIEW
FPGA VI
Socketed
DRAM 1
CLIP Socket
Socketed
CLIP
DRAM1
Figure 16. CLIP and FPGA VI Relationship
Fixed I/O
CLIP
23
Adapter
Module
NI 6583R User Guide and Specifications

Advertisement

Table of Contents
loading

Table of Contents