HP  8153A Operating And Programming Manual

HP 8153A Operating And Programming Manual

Lightwave multimeter
Hide thumbs Also See for HP 8153A:

Advertisement

Quick Links

sales@artisantg.com
artisantg.com
(217) 352-9330 |
|
Click HERE
Find the Keysight / Agilent 8153A at our website:

Advertisement

Table of Contents
loading

Summary of Contents for HP HP 8153A

  • Page 1 sales@artisantg.com artisantg.com (217) 352-9330 | Click HERE Find the Keysight / Agilent 8153A at our website:...
  • Page 2 Agilent Technologies. We have made no changes to this manual copy. The HP XXXX referred to in this document is now the Agilent XXXX. For example, model number HP8648A is now model number Agilent 8648A.
  • Page 3 Operating and Programming Manual HP 8153A Lightwave Multimeter ABCDE Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 4 During the warranty incidental, or consequential without the prior written consent of period, HP will, at its option, either damages whether based on contract, Hewlett-Packard GmbH. repair or replace products which tort, or any other legal theory.
  • Page 5: Safety Considerations

    Safety Considerations Warning Line Power Requirements Line Power Cable Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 6 Warning Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 7 Operating Environment Warning Input/Output Signals Caution Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 8 Initial Safety Information for Laser Source Modules > > > > Note Note MUST Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 9 MUST Warning Warning Warning Warning Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 10 Sicherheitsinformation f  ur Laser Quellen > > > > Hinweis viii Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 11 Warnung Warnung Warnung Warnung Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 12 Informations et Consignes de S  ecurit  e Relatives  a l'Utilisation des Lasers. > > > > Remarque Remarque Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 13 Attention Attention Attention Attention Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 14 Lasers  akerhet Bruksanvisningar Varning Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 15 Underh  all xiii Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 16 Laserturvallisuus K  aytt  o Varoitus Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 17 Huolto Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 18 Introduction Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 19 Contents Contents-1 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 20 Contents-2 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 21 Contents-3 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 22 Contents-4 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 23 < > < > < > < > < > < > < > < > < > Contents-5 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 24 Contents-6 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 25 Contents-7 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 26 Contents-8 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 27 Contents-9 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 28 Contents-10 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 29 Contents-11 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 30 Figures Average Contents-12 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 31 Average > Average > Average Contents-13 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 32 Tables STATus STATus STATus DISPlay SENSe SOURce SYSTem PROGram STATus STATus Contents-14 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 33: Getting Started

    Getting Started The HP 8153A System Getting Started 1-1 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 34 A Quick Overview The Keyboard Chan Mode Chan Mode Measure Mode Param 1-2 Getting Started Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 35 Disp dBm/W Zero N Dig Auto Down Menu Mode Edit Prev Next Exec Pause System Loss Loss Getting Started 1-3 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 36 Record T_TOTAL AUTODUMP SAMPLES AUTODUMP START THRESHLD Exec AUTOSCAL Y_MIN Y_MAX COMMENT More 1-4 Getting Started Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 37 TYPE DELTA MAXPOWER Pause Getting Started 1-5 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 38 The Display NNNNNNNN NNNNNNNNNNNNNN Mode NNNNNNNNNNN NNNNNNNNNNNNNNNNNNNNNNNNNN TLK ONLY NNNNNNNNNNN NNNNNNNNNNNNNNNNNNNNNNNNNN Low Batt 1-6 Getting Started Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 39 A/REF B/REF AUTO APPL EDIT PAUSE NNNNNNNNNNNNNNNNN Param Getting Started 1-7 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 40: A Sample Session

    A Sample Session Hardware Setup Switching On and Recalling the Standard Setting SELFTEST 1-8 Getting Started Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 41 MENU Mode MENU MENU SYS System RECALL MENU SYS EDIT Edit RECALL Exec RECALL Exec Mode Making A Power Measurement Note Getting Started 1-9 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 42 Param Zero ZEROING ---- 1-10 Getting Started Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 43: Logging Data

    Logging Data Menu MENU MENU APPL Record STABILTY Record LOGGING Getting Started 1-11 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 44: Examining The Data

    EDIT Edit SAMPLES AUTODUMP Next START Next IMMEDIAT LOGGING Edit Exec Examining the Data SHOW More MAXIMUM Edit MINIMUM Next DIFF Next AVERAGE Next Next 1-12 Getting Started Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 45: Plotting Data

    Edit SHOW Plotting Data NNNNNNNNNNNNNNNNNNNNNNNNNN TLK ONLY HPIB System ADDRESS Edit MODE Next TLK LSTN TLK ONLY HPIB Edit Getting Started 1-13 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 46 PLOT Record AUTOSCAL Edit COMMENT Next PLOT Edit Exec 1-14 Getting Started Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 47: Measure Mode

    Measure Mode MEAS The Chan Key Chan Note Local The Mode Key Mode Note Local Control - Measure Mode 2-1 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 48: Default Values

    The Param Key Param Param Entry Status Note Default Values Param Parameter List 2-2 Local Control - Measure Mode Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 49 nnnn.n CAL dB measured input measured input nnn.nnn nnn.nnn Local Control - Measure Mode 2-3 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 50 Average sample sample Sample sample ms s min 2-4 Local Control - Measure Mode Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 51 dBm W display measured display measured nnn.nnn nnn.nnn Local Control - Measure Mode 2-5 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 52 AT T dB output source output source 2-6 Local Control - Measure Mode Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 53 The Disp Ref Key measured measured display display Local Control - Measure Mode 2-7 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 54 The dB Key Using with a Two Sensor Instrument A/REF B/REF Param Param Disp display measured display measured st Chan display measured measured nd Chan display measured 2-8 Local Control - Measure Mode Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 55 Using with a One Sensor Instrument A/REF B/REF Param Param Disp display measured display measured The dBm/W Key dBm W dBm W dBm W The Zero Key Zero Zero Zero Local Control - Measure Mode 2-9 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 56 Note ZERO ERR 2-10 Local Control - Measure Mode Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 57 The N Dig Key N Dig N Dig The Range Keys Local Control - Measure Mode 2-11 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 58 Auto Down 2-12 Local Control - Measure Mode Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 59 The Auto Key Auto AUTO The Up Key Example The Down Key Example Down The Analog Output Down Local Control - Measure Mode 2-13 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 60 The Modify Keys Editing Discrete Valued Parameters Example Editing Continuous Valued Parameters Editing Units 2-14 Local Control - Measure Mode Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 61 Example Local Control - Measure Mode 2-15 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 62 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 63: Menu Mode

    Menu Mode MENU The Chan Key Chan Note Local The Mode Key Mode Note Local Control - Menu Mode 3-1 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 64 The System Key System The Modify Keys The Loss Key Loss Loss Preparation 3-2 Local Control - Menu Mode Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 65 Running the Loss Application Loss CONFIG ? APPL LOSS Exec Local Control - Menu Mode 3-3 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 66 Warning Note 3-4 Local Control - Menu Mode Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 67 Exec The Record Key Record Exec Local Control - Menu Mode 3-5 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 68 Preparation Note 3-6 Local Control - Menu Mode Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 69: The Stability Application

    Record Record Next Prev Record Next Prev APPL The Stability Application Example STABILTY Local Control - Menu Mode 3-7 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 70 T_TOTAL AUTODUMP Edit Edit EDIT Next Prev T TOTAL T_TOTAL T_TOTAL T_TOTAL Edit Next Prev AUTODUMP AUTODUMP Note AUTODUMP 3-8 Local Control - Menu Mode Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 71 PLOTTER PRINTER Edit Next Prev Running the Stability Application Exec Pause Pause Next Prev Exec Local Control - Menu Mode 3-9 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 72: The Logging Application

    The Logging Application LOGGING SAMPLES AUTODUMP START THRESHLD Edit Edit EDIT Next Prev SAMPLES SAMPLES 3-10 Local Control - Menu Mode Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 73 Edit Next Prev AUTODUMP AUTODUMP Note AUTODUMP Local Control - Menu Mode 3-11 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 74 PLOTTER PRINTER Edit Next Prev START START Exec IMMEDIAT ABOVE Exec BELOW Edit Next Prev THRESHLD START ABOVE BELOW THRESHLD Edit Next Prev 3-12 Local Control - Menu Mode Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 75 Running the Logging Application Exec Pause Pause Next Prev Exec The Manual Logging Application Exec MAN LOGG Edit Edit EDIT Exec Edit Running the Manual Logging Application Exec Exec Example Local Control - Menu Mode 3-13 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 76 Exec The Plot Application Note PLOT AUTOSCAL Y_MIN Y_MAX COMMENT Edit Edit EDIT Next Prev 3-14 Local Control - Menu Mode Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 77 AUTOSCAL AUTOSCAL Edit Next Prev Y MIN AUTOSCAL Y_MIN Edit Next Prev Y MAX AUTOSCAL Y_MAX Edit Next Prev Local Control - Menu Mode 3-15 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 78 COMMENT COMMENT Note COMMENT ! ? . , : ; & | @ # $ % * [ ] Edit Next Prev Running the Plot Application Exec NO DATA DATA ? ABORTED Exec Reading the Plot STABILITY LOGGING MAN LOGG 3-16 Local Control - Menu Mode Artisan Technology Group - Quality Instrumentation ...
  • Page 79 T avg Cal Fact Reference T total Samples Local Control - Menu Mode 3-17 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 80 Diff Note 3-18 Local Control - Menu Mode Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 81 The Print Application Note PRINT AUTOSCAL Y_MIN Y_MAX COMMENT Local Control - Menu Mode 3-19 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 82 Edit Edit EDIT Next Prev AUTOSCAL AUTOSCAL Note AUTOSCAL Edit Next Prev Y MIN AUTOSCAL Y_MIN Note Y_MIN 3-20 Local Control - Menu Mode Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 83 Edit Next Prev Y MAX AUTOSCAL Y_MAX Note Y_MAX Edit Next Prev COMMENT COMMENT Note COMMENT ! ? . , : ; & | @ # $ % * [ ] Edit Next Prev Local Control - Menu Mode 3-21 Artisan Technology Group - Quality Instrumentation ...
  • Page 84 Running the Print Application Exec NO DATA DATA ? ABORTED Exec Reading the Printout 3-22 Local Control - Menu Mode Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 85 STABILITY LOGGING MANUAL LOGGING Local Control - Menu Mode 3-23 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 86 Ch. A (Absolute) B / REF T avg Cal Fact Reference T total Samples Diff Note 3-24 Local Control - Menu Mode Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 87 The MinMax Applications Note Local Control - Menu Mode 3-25 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 88 Note 3-26 Local Control - Menu Mode Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 89 MODE CONT WINDOW REFRESH SAMPLES Running the MinMax Application Exec Edit Pause Pause Exec Local Control - Menu Mode 3-27 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 90 The More Key More More Next More Prev More Next The Show Application SHOW Edit EDIT Prev Next MAXIMUM MAXIMUM MINIMUM MINIMUM 3-28 Local Control - Menu Mode Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 91 DIFF DIFF AVERAGE AVERAGE Note The Alignment Application Preparation Local Control - Menu Mode 3-29 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 92 ALIGNMNT TYPE DELTA MAXPOWER Edit Edit EDIT Next Prev TYPE TYPE AUTO MANUAL 3-30 Local Control - Menu Mode Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 93 Edit Next Prev DELTA DELTA Edit Next Prev MAXPOWER TYPE MANUAL MAXPOWER Edit Next Prev Running the Alignment Application Exec TYPE AUTO TYPE MANUAL MAXPOWER Local Control - Menu Mode 3-31 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 94 DELTA DELTA DELTA DELTA DELTA MAXPOWER Example Pause 3-32 Local Control - Menu Mode Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 95 Exec Local Control - Menu Mode 3-33 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 96 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 97: System Mode

    System Mode MENU SYS The Mode Key Mode Note The Modify Keys Local Control - System Mode 4-1 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 98 The System Key System Next System Prev System Next Note RECALL RECALL Edit MENU SYS EDIT EXEC 4-2 Local Control - System Mode Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 99 The Module Type MISMATCH The Location The Channel Local Control - System Mode 4-3 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 100 MISMATCH RECALL '54 3 Example Exec STORE STORE Edit MENU SYS EDIT EXEC 4-4 Local Control - System Mode Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 101 The Module Type The Channel The Location STORE '54 3 Example Exec HPIB HPIB ADDRESS MODE LANGUAGE Edit Edit Next Prev MENU SYS EDIT ADDRESS ADDRESS Local Control - System Mode 4-5 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 102 MODE MODE MODE TLK LSTN TLK ONLY Exec TLK ONLY Exec LANGUAGE LANGUAGE LANGUAGE TMSL HP 8152 Exec Exec 4-6 Local Control - System Mode Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 103 DISPLAY DISPLAY BRIGHT Edit MENU SYS EDIT BRIGHT BRIGHT DATETIME DATETIME MM/DD/YY HH:MM:SS Local Control - System Mode 4-7 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 104 Edit Edit Next Prev MENU SYS EDIT MM/DD/YY MM/DD/YY HH:MM:SS HH:MM:SS Example Next 4-8 Local Control - System Mode Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 105 Programming the HP 8153A Introduction Tutorial Description of Hewlett-Packard Interface IEEE Standard 488.1-1987, IEEE Standard Digital Interface for Programmable Instrumentation IEEE Standard 488.2-1987, IEEE Standard Codes, Formats, Protocols and Common Commands For Use with ANSI/IEEE Std 488.1-1987 Remote Operation 5-1...
  • Page 106 The HP 8153A HP-IB Capabilities Mnemonic Function Complete source handshake capability Complete acceptor handshake capability Basic talker; serial poll; unaddressed to talk if addressed to listen; no talk only Basic listener; unaddressed to listen if addressed to talk; no listen only...
  • Page 107 NNNNNNNNNNN NNNNNNNNNNNNNN ONLY The Parser Parser Type Synchronization *OPC *OPC? *WAI Remote Operation 5-3 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 108 Note Clearing the Input Queue Accepted Characters HP-IB Bus Commands 5-4 Remote Operation Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 109 Remote Operation 5-5 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 110 HP-IB Priority Local Menu ZEROING TMSL Beginners Guide to SCPI Standard Commands for Programmable Instruments 5-6 Remote Operation Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 111 SOURce Example SOURce SOURce SOURce 1 :INTernal :FREQuency < > < > :FREQuency? :POWer :ATTenuation 1 < > < > :ATTenuation 1 :STATe < > :STATe? :WAVElength UPPer LOWer BOTH :WAVElength? SOURCE:POWER:STATE Example SOURCE:AM:INTERNAL:FREQUENCY CW Example SOUR:POW:ATT 1.0; STAT ON; WAVE LOW Remote Operation 5-7 Artisan Technology Group - Quality Instrumentation ...
  • Page 112: Setting The Hp-Ib Address

    SOURCE:POWER:ATTENUATION 1.0 SOURCE:POWER:STATE ON SOURCE:POWER:WAVELENGTH LOWER Example SOURCE:POWER:STATE ON source:power:state on sour:pow:stat on Setting the HP-IB Address 5-8 Remote Operation Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 113: Syntax Diagram Conventions

    Syntax Diagram Conventions < > DB DBM W MW NM Remote Operation 5-9 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 114 < > number number number 5-10 Remote Operation Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 115 number number number Remote Operation 5-11 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 116 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 117: Common Commands

    Common Commands Common Status Information IEEE Standard 488.2-1987 Remote Operation - Common Commands 6-1 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 118 Note 6-2 Remote Operation - Common Commands Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 119: Output Queue

    SRQ, The Service Request Input Queue Output Queue Error Queue < > Remote Operation - Common Commands 6-3 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 120 *CLS *ESE *ESE? *ESR? *IDN? *OPC *OPC? *RST *SRE *SRE? *STB? *TRG *TST? *WAI *CLS *CLS *CLS *CLS *OPC *OPC? 6-4 Remote Operation - Common Commands Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 121 OUTPUT 722;"*CLS" *ESE *ESE < > < > *ESE *RST *CLS *ESE? OUTPUT 722;"*ESE 21" Remote Operation - Common Commands 6-5 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 122 *ESE? *ESE? < > *ESE? *ESE OUTPUT 722;"*ESE?" ENTER 722; A$ *ESR? *ESR? < > 6-6 Remote Operation - Common Commands Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 123 *ESR? OUTPUT 722;"*ESR?" ENTER 722; A$ *IDN? *IDN? HEWLETT-PACKARD, 8153A, 0, 1.0 Remote Operation - Common Commands 6-7 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 124 HEWLETT-PACKARD 8153A *IDN? *OPT? DIM A$ [30] OUTPUT 722;"*IDN?" ENTER 722; A$ *OPC *OPC *OPC *OPC *CLS *RST *OPC? *WAI OUTPUT 722;"*CLS;*ESE 1;*SRE 32" OUTPUT 722;"*OPC" 6-8 Remote Operation - Common Commands Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 125 *OPC? *OPC? < > *OPC? *OPC? *CLS *RST *OPC *WAI OUTPUT 722;"*OPC?" ENTER 722;A$ ENTER ENTER *OPT? *OPT? < > , < > Remote Operation - Common Commands 6-9 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 126 EMPTY *OPT? *IDN? DIM A$ [20] OUTPUT 722;"*OPT?" ENTER 722; A$ HP81554SM,HP 81530A *RST *RST *RST *OPC *OPC? *RST 6-10 Remote Operation - Common Commands Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 127 DISPlay:BRIGhtness DISPlay:STATe SENSe:POWer:ATIME SENSe:POWer:RANGe:AUTO SENSe:POWer:WAVElength SENSe:POWer:REFerence SENSe:POWer:REFerence SENSe:POWer:REFerence SENSe:POWer:REFerence:STATe SENSe:POWer:REFerence:STATe:RATIo SENSe:POWer:UNIT SENSe:CORRection ABORt ABORt2 INITiate:CONTinuous INITiate2:CONTinuous SOURce:AM:INTernal:FREQuency SOURce:POWer:ATTenuation SOURce:POWer:ATTenuation2 SOURce:POWer:STATe SOURce:POWer:WAVElength OUTPUT 722;"*RST" *SRE *SRE < > < > *SRE *RST *CLS Remote Operation - Common Commands 6-11 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 128 *SRE? *STB? OUTPUT 722;"*SRE 48" *SRE? *SRE? *SRE? *SRE *STB? OUTPUT 722;"*SRE?" ENTER 722; A$ 6-12 Remote Operation - Common Commands Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 129 *STB? *STB? < > *STB? STATus *STB? *SRE *SRE? OUTPUT 722;"*STB?" ENTER 722; A$ Remote Operation - Common Commands 6-13 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 130 *TRG *TRG *TRG GET INIT INIT:CONT INIT:CONT? OUTPUT 722;"*TRG" *TST? *TST? < > *TST? OUTPUT 722;"*TST?" ENTER 722; A$ *WAI *WAI *WAI 6-14 Remote Operation - Common Commands Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 131 *OPC *OPC? OUTPUT 722;"*WAI" Remote Operation - Common Commands 6-15 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 132 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 133: The Status Registers

    HP-IB Status Commands The Status Registers Note Remote Operation - Status Commands 7-1 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 134 The Condition Registers The Transition Filters The Event Registers The Enable Registers 7-2 Remote Operation - Status Commands Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 135 The Status Commands Note < > Note STATUS:OPERATION:SETTLING:EVENT? STAT:OPER:SETT:EVEN? STAT:OPER:SETT:EVEN? stat:oper:sett:even? STATus:PRESet STATus:PRESet Note Remote Operation - Status Commands 7-3 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 136 < > OUTPUT 722;"STAT:PRES" < > STATus: node :CONDition? STATus: < > :CONDition? < > 7-4 Remote Operation - Status Commands Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 137 OUTPUT 722;"STAT:OPER:TRIG:POW:COND?" ENTER 722;A$ < > STATus: node :ENABle STATus: < > :ENABle < > STAT: < > :ENAB? OUTPUT 722;"STAT:OPER:TRIG:POW:ENAB 3" < > STATus: node :ENABle? STATus: < > :ENABle? < > STAT: < > :ENAB OUTPUT 722;"STAT:QUES:POW:ENAB?" ENTER 722;A$ <...
  • Page 138 OUTPUT 722;"STAT:OPER:SETT:EVEN?" ENTER 722;A$ < > STATus: node :NTRansition STATus: < > :NTRansition < > STAT: < > :NTR? OUTPUT 722;"STAT:QUES:POW:OVERR:NTR 3" < > STATus: node :NTRansition? STATus: < > :NTRansition? < > STAT: < > :NTR OUTPUT 722;"STAT:QUES:ISUM:INST1:NTR?" ENTER 722;A$ <...
  • Page 139 STAT: < > :PTR? OUTPUT 722;"STAT:QUES:POW:PTR 3" < > STATus: node :PTRansition? STATus: < > :PTRansition? < > STAT: < > :PTR OUTPUT 722;"STAT:QUES:POW:OVERR:PTR?" ENTER 722;A$ The Operation Status Remote Operation - Status Commands 7-7 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 140 7-8 Remote Operation - Status Commands Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 141 The Operation Status Commands STATus :CONDition? :ENABle :ENABle? < > :EVENt ? :NTRansition < > :NTRansition? :PTRansition < > STATus :OPERation :SETTling :LPELTier :HPELTier :MEASuring :POWer :TRIGger :POWer :CORRecting :ZERO :AVERaging :POWer :PROGram : < > Remote Operation - Status Commands 7-9 Artisan Technology Group - Quality Instrumentation ...
  • Page 142 The OPERation node Note 7-10 Remote Operation - Status Commands Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 143 The OPERation:SETTling Node The OPERation:SETTling:LPELTier Node The OPERation:SETTling:HPELTier Node The OPERation:MEASuring Node The OPERation:MEASuring:POW er Node Remote Operation - Status Commands 7-11 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 144 The OPERation:TRIGger Node The OPERation:TRIGger:POW er Node The OPERation:CORRecting Node The OPERation:CORRecting:ZERO Node The OPERation:AVERaging Node The OPERation:A VERaging:POW er Node 7-12 Remote Operation - Status Commands Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 145 The OPERation:PROGram Node < > < > The OPERation:PROGram: application Node The Questionable Status Remote Operation - Status Commands 7-13 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 146 7-14 Remote Operation - Status Commands Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 147 The Questionable Status Commands STATus :CONDition? :ENABle :ENABle? < > :EVENt ? :NTRansition < > :NTRansition? :PTRansition < > STATus :QUEStionable :POWer :OVERRange :LCURRent :HCURRent :LMONitor :HMONitor :ENVTemp :ISUMmary :INSTrument 1 :POWer Remote Operation - Status Commands 7-15 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 148 The QUEStionable node Note 7-16 Remote Operation - Status Commands Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 149 The QUEStionable:POWer Node The QUEStionable:POW er:OVERRange Node The QUEStionable:POW er:LCURRent Node Remote Operation - Status Commands 7-17 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 150 The QUEStionable:POW er:HCURRent Node The QUEStionable:POW er:LMONitor Node The QUEStionable:POW er:HMONitor Node The QUEStionable:POW er:ENVT emp Node 7-18 Remote Operation - Status Commands Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 151 The QUEStionable:ISUMmary Node The QUEStionable:ISUMmary:INSTrument[1 2] Node Remote Operation - Status Commands 7-19 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 152 The Source Status The Source Status Commands The following are the source status 7-20 Remote Operation - Status Commands Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 153 STATus > STATus > :SOURce > :CONDition? > :ENABle > :ENABle? < > > :EVENt ? > :NTRansition < > > :NTRansition? > :PTRansition < > The SOURce node Note Remote Operation - Status Commands 7-21 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 154 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 155: Abort Commands

    HP-IB Commands ABORt Commands ABORt 1 Specifying the Channel ABORt1 ABORt2 ABORt ABORt 1 INIT:IMM INIT:CONT INIT:CONT? OUTPUT 722;"ABOR" Remote Operation - Commands 8-1 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 156: Display Commands

    DISPlay Commands DISPlay DISPlay :BRIGhtness < > :BRIGhtness? :STATe < > :STATe ? DISPlay:BRIGhtness DISPlay:BRIGhtness < >< > *RST DISP:BRIG? DISP:STAT DISP:STAT? OUTPUT 722;"DISP:BRIG 0.5" DISPlay:BRIGhtness? DISPlay:BRIGhtness? < > 8-2 Remote Operation - Commands Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 157 DISP:BRIG DISP:STAT DISP:STAT? OUTPUT 722;"DISP:BRIG?" ENTER 722;A$ DISPlay:STATe DISPlay :STATe < >< > DISP:STAT ON DISP:STAT OFF *RST DISP:BRIG DISP:BRIG? DISP:STAT? OUTPUT 722;"DISP:STAT ON" DISPlay:STATe? DISPlay :STATe ? < > DISP:BRIG DISP:BRIG? DISP:STAT OUTPUT 722;"DISP:STAT?" ENTER 722;A$ Remote Operation - Commands 8-3 Artisan Technology Group - Quality Instrumentation ...
  • Page 158: Fetch Commands

    FETCh Commands FETCh 1 :SCALar :POWER :DC ? Specifying the Channel FETCh FETCh1 FETCh2 FETCh[:SCALar]:POWer[:DC] FETCh 1 2 :SCALar :DC ? < > 8-4 Remote Operation - Commands Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 159 Average sample sample Sample sample Average Average > Average Remote Operation - Commands 8-5 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 160 Average sample sample Sample sample > Average READ:SCAL:POW:DC? SENS:POW:REF:STAT SENS:POW:REF:STAT? SENS:POW:UNIT SENS:POW:UNIT? INIT:IMM INIT:CONT INIT:CONT? OUTPUT 722;"FETC:POW?" ENTER 722;A$ 8-6 Remote Operation - Commands Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 161: Initiate Commands

    INITiate Commands INITiate 1 :CONTinuous < > :CONTinuous? :IMMediate Specifying the Channel INITiate1 INITiate2 INITiate:CONTinuous < >< > INITiate 1 ABOR INIT:IMM INIT:CONT? OUTPUT 722;"INIT1:CONT OFF" INITiate:CONTinuous? INITiate 1 < > ABOR INIT:IMM INIT:CONT Remote Operation - Commands 8-7 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 162: Read Commands

    OUTPUT 722;"INIT:CONT?" ENTER 722;A$ INITiate[:IMMediate] INITiate 1 ABOR INIT:CONT INIT:CONT OUTPUT 722;"INIT2" READ Commands READ 1 :SCALar :POWER :DC ? Specifying the Channel READ READ1 READ2 READ[:SCALar]:POWer[:DC] READ 1 2 :SCALar :DC ? < > 8-8 Remote Operation - Commands Artisan Technology Group - Quality Instrumentation ...
  • Page 163: Sense Commands

    FETC:SCAL:POW:DC? INIT INIT:CONT INIT:CONT? SENS:POW:REF:STAT SENS:POW:REF:STAT? SENS:POW:UNIT SENS:POW:UNIT? OUTPUT 722;"READ:POW?" ENTER 722;A$ SENSe Commands Remote Operation - Commands 8-9 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 164 SENSe SENSe 1 :CORRection :COLLect :ZERO :ZERO? :LOSS :INPut :MAGNitude < > :MAGNitude :POWer :ATIME < > < > :ATIME? :RANGe :AUTO < > :AUTO? < > < > :UPPER :UPPER ? :REFerence TOREF 2, < > < > :REFerence? TOREF :DISPlay TOREF...
  • Page 165 Specifying the Channel SENSe SENSe1 SENSe2 SENSe:CORRection:COLLect:ZERO SENSe 1 SENS:CORR:COLL:ZERO? OUTPUT 722;"SENS2:CORR:COLL:ZERO" SENSe:CORRection:COLLect:ZERO? SENSe 1 < > SENS:CORR:COLL:ZERO OUTPUT 722;"SENS2:CORR:COLL:ZERO?" ENTER 722;A$ SENSe:CORRection[:LOSS[:INPut[:MAGNitude]]] SENSe 1 :LOSS :INPut :MAGNitude < >< > < > Remote Operation - Commands 8-11 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 166 SENS:CORR:LOSS:INP:MAGN? OUTPUT 722;"SENS2:CORR:LOSS:INP:MAGN 10DB" SENSe:CORRection[:LOSS[:INPut[:MAGNitude]]]? SENSe 1 :LOSS :INPut :MAGNitude ? < > SENS:CORR:LOSS:INP:MAGN OUTPUT 722;"SENS2:CORR:LOSS:INP:MAGN?" ENTER 722;A$ SENSe:POWer:ATIME SENSe 1 < >< > < > SENS:POW:ATIME? OUTPUT 722;"SENS:POW:ATIME 200MS" 8-12 Remote Operation - Commands Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 167 SENSe:POWer:ATIME? SENSe 1 < > SENS:POW:ATIME OUTPUT 722;"SENS2:POW:ATIME?" ENTER 722;A$ SENSe:POWer:RANGe:AUTO SENSe 1 < >< > POW:RANG SENS:POW:RANG:UPPER SENS:POW:RANG:UPPER? SENS:POW:RANG:AUTO? OUTPUT 722;"SENS1:POW:RANG:AUTO OFF" SENSe:POWer:RANGe:AUTO? SENSe 1 < > Remote Operation - Commands 8-13 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 168 SENS:POW:RANG:UPPER SENS:POW:RANG:UPPER? SENS:POW:RANG:AUTO OUTPUT 722;"SENS2:POW:RANG:AUTO?" ENTER 722;A$ SENSe:POWer:RANGe[:UPPER] SENSe 1 < >< > < > < < 8-14 Remote Operation - Commands Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 169 SENS:POW:RANG:UPPER? SENS:POW:RANG:AUTO SENS:POW:RANG:AUTO? OUTPUT 722;"SENS1:POW:RANG:UPPER -20DBM" Remote Operation - Commands 8-15 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 170 SENSe:POWer:RANGe[:UPPER]? SENSe 1 < > SENS:POW:RANG:UPPER SENS:POW:RANG:AUTO SENS:POW:RANG:AUTO? OUTPUT 722;"SENS1:POW:RANG:UPPER?" ENTER 722;A$ SENSe:POWer:REFerence SENSe 1 < > TOA TOREF 2, < > < > TOREF Watt Result dB Measured dBm Reference dBm TOREF 8-16 Remote Operation - Commands Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 171 F irstChannelMeasured Result dB Reference dB SecondChannelMeasured SENS:POW:REF? SENS:POW:REF:STATE SENS:POW:REF:STATE? SENS:POW:REF:STATE:RATI SENS:POW:REF:STAT:RATI? SENS:POW:REF:DISP OUTPUT 722;"SENS:POW:REF TOREF,10DBM" SENSe:POWer:REFerence? SENSe 1 < > TOA TOREF < > TOREF Remote Operation - Commands 8-17 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 172 TOREF TOREF SENS:POW:REF SENS:POW:REF:STATE SENS:POW:REF:STATE? SENS:POW:REF:STATE:RATI SENS:POW:REF:STAT:RATI? SENS:POW:REF:DISP SENS:POW:UNIT SENS:POW:UNIT? OUTPUT 722;"SENS1:POW:REF? TOA" ENTER 722;A$ SENSe:POWer:REFerence:DISPlay SENSe 1 Result dB Measured dBm Reference dBm TOREF SENSe:POWer:REFerence F irstChannelMeasured Result dB Reference dB SecondChannelMeasured SENSe:POWer:REFerence 8-18 Remote Operation - Commands Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 173 SENS:POW:REF SENS:POW:REF? SENS:POW:REF:STATE SENS:POW:REF:STATE? SENS:POW:REF:STAT:RATI SENS:POW:REF:STAT:RATI? OUTPUT 722;"SENS:POW:REF TOREF" OUTPUT 722;"SENS:POW:REF:DISP" SENSe:POWer:REFerence:STATe SENSe 1 < >< > SENS:POW:REF SENS:POW:REF? SENS:POW:REF:STATE? SENS:POW:REF:STATE:RATI SENS:POW:REF:STAT:RATI? SENS:POW:REF:DISP SENS:POW:UNIT SENS:POW:UNIT? OUTPUT 722;"SENS1:POW:REF:STATE ON" SENSe:POWer:REFerence:STATe? SENSe 1 < > SENS:POW:REF SENS:POW:REF? SENS:POW:REF:STATE SENS:POW:REF:STATE:RATI SENS:POW:REF:STAT:RATI? SENS:POW:REF:DISP SENS:POW:UNIT SENS:POW:UNIT? OUTPUT 722;"SENS1:POW:REF:STAT?"...
  • Page 174 SENSe:POWer:REFerence:STATe:RATIo SENSe 1 < > TOA TOREF TOREF 2 TOB SENS:POW:REF SENS:POW:REF? SENS:POW:REF:STATE SENS:POW:REF:STATE? SENS:POW:REF:STAT:RATI? SENS:POW:REF:DISP OUTPUT 722;"SENS2:POW:REF:STAT:RATI TOB" SENSe:POWer:REFerence:STATe:RATIo? SENSe 1 SENS:POW:REF SENS:POW:REF? SENS:POW:REF:STATE SENS:POW:REF:STATE? SENS:POW:REF:STAT:RATI SENS:POW:REF:DISP OUTPUT 722;"SENS1:POW:REF:STAT:RATI?" ENTER 722;A$ 8-20 Remote Operation - Commands Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 175 SENSe:POWer:UNIT SENSe 1 < >< > Watt Watt SENS:POW:UNIT? SENS:POW:REF:STATE SENS:POW:REF:STATE? OUTPUT 722;"SENS1:POW:UNIT W" SENSe:POWer:UNIT? SENSe 1 < > SENS:POW:UNIT SENS:POW:REF:STATE SENS:POW:REF:STATE? OUTPUT 722;"SENS2:POW:UNIT?" ENTER 722;A$ SENSe:POWer:WAVElength SENSe 1 < >< > < > Remote Operation - Commands 8-21 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 176: Source Commands

    SENS:POW:WAVE? OUTPUT 722;"SENS2:POW:WAVE 1300NM" SENSe:POWer:WAVElength? SENSe 1 < > SENS:POW:WAVE OUTPUT 722;"SENS2:POW:WAVE?" ENTER 722;A$ SOURce Commands SOURce SOURce 1 :INTernal :FREQuency < > < > :FREQuency? :POWer :ATTenuation 1 < > < > :ATTenuation 1 < > :STATe :STATe? :WAVElength UPPer LOWer BOTH...
  • Page 177 Specifying the Channel SOURce SOURce1 SOURce2 SOURce:AM[:INTernal]:FREQuency SOURce 1 < >< > < > SOUR:AM[:INTernal]:FREQ? OUTPUT 722;"SOUR:AM[:INTernal]:FREQ 10KHZ" SOURce:AM[:INTernal]:FREQuency? SOURce 1 < > SOUR:AM[:INTernal]:FREQ OUTPUT 722;"SOURc2:AM[:INTernal]:FREQ?" ENTER 722;A$ Remote Operation - Commands 8-23 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 178 SOURce:POWer:ATTenuation SOURce 1 < >< > < > SOUR:POW:ATT2 SOUR:POW:ATT? OUTPUT 722;"SOUR:POW:ATT 1.0DB" SOURce:POWer:ATTenuation? SOURce 1 < > SOUR:POW:ATT OUTPUT 722;"SOURc2:POW:ATT1?" ENTER 722;A$ SOURce:POWer:STATe SOURce 1 < >< > SOUR:POW:STAT? 8-24 Remote Operation - Commands Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 179 OUTPUT 722;"SOUR:POW:STAT OFF" SOURce:POWer:STATe? SOURce 1 < > SOUR:POW:STAT OUTPUT 722;"SOURc2:POW:STAT?" ENTER 722;A$ SOURce:POWer:WAVElength Note SOURce 1 < > UPPer LOWer BOTH UPPer LOWer BOTH SOUR:POW:WAVE? OUTPUT 722;"SOUR:POW:WAVE UPP" Remote Operation - Commands 8-25 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 180: System Commands

    SOURce:POWer:WAVElength? SOURce 1 < > SOUR:POW:WAVE OUTPUT 722;"SOUR2:POW:WAVE?" ENTER 722;A$ SYSTem Commands SYSTem SYSTem < > , < > , < > :DATE :DATE? :ERRor? :TIME < > , < > , < > :TIME? 8-26 Remote Operation - Commands Artisan Technology Group - Quality Instrumentation ...
  • Page 181 SYSTem:DATE SYSTem:DATE < >< > , < > , < > *RST SYST:DATE? SYST:TIME SYST:TIME? OUTPUT 722;"SYST:DATE 1990,1,17" SYSTem:DATE? SYSTem:DATE? < > < > < > SYST:DATE SYST:TIME SYST:TIME? OUTPUT 722;"SYST:DATE?" ENTER 722;A$ SYSTem:ERRor? SYSTem:ERRor? < > < > Remote Operation - Commands 8-27 Artisan Technology Group - Quality Instrumentation ...
  • Page 182 OUTPUT 722;"SYST:ERR?" ENTER 722; A$ SYSTem:TIME SYSTem:TIME < >< > , < > , < > SYST:TIME 23,59,60 *RST SYST:DATE SYST:DATE SYST:TIME? OUTPUT 722;"SYST:TIME 9,15,0" 8-28 Remote Operation - Commands Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 183 SYSTem:TIME? SYSTem:TIME? < > < > < > SYST:DATE SYST:DATE? SYST:TIME OUTPUT 722;"SYST:TIME?" ENTER 722;A$ Remote Operation - Commands 8-29 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 184 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 185: Program Commands

    HP-IB Application Commands Program Commands Remote Operation - Application Commands 9-1 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 186 PROGram PROGram 1 :[:SELected] :EXECute :NAME < > :NAME? < > < > :NUMBer < > :NUMBer? :STATe CONTinue PAUSe STOP :STATe? PROGram PROGram1 PROGram2 PROGram[:SELected]:EXECute PROGram 1 PROG:SEL:NAME OUTPUT 722;"PROG:SEL:EXEC" PROGram[:SELected]:NAME PROGram 1 < >< > PROGram *RST PROG PROG PROG:SEL:NAME? OUTPUT 722;"PROG:SEL:NAME LOGGING"...
  • Page 187 PROGram[:SELected]:NAME? PROGram 1 < > NO APPL PROG:SEL:NAME OUTPUT 722;"PROG:SEL:NAME?" ENTER 722;A$ PROGram[:SELected]:NUMBer PROGram 1 < >< > , < > PROG:SEL:NUMBer? PROG:SEL:NAME OUTPUT 722;"PROG:SEL:NUMBer SAMPLES,100" PROGram[:SELected]:NUMBer? PROGram 1 < >< > < > PROGram SELected EXECute PROG:SEL:NUMB PROG:SEL:NAME Remote Operation - Application Commands 9-3 Artisan Technology Group - Quality Instrumentation ...
  • Page 188 OUTPUT 722;"PROG:SEL:NUMB? ASAMPLES" ENTER 722;A$ PROGram[:SELected]:STATe PROGram 1 CONTinue PAUSe STOP PROG:SEL:EXEC PAUSe STOP CONTinue PAUSe PROG:SEL:STATe? PROG:SEL:NAME OUTPUT 722;"PROG:SEL:STATe RUN" PROGram[:SELected]:STATe? PROGram 1 PROG:SEL:STAT PROG:SEL:NAME OUTPUT 722;"PROG:SEL:STAT?" ENTER 722;A$ 9-4 Remote Operation - Application Commands Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 189: The Logging Application

    Mainframe Applications The Logging Application LOGGING Note SAMPLES LOGGSTART Remote Operation - Application Commands 9-5 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 190: The Stability Application

    THRESHOLD LOGGSTART Note SENSe ASAMPLES RESULT The Stability Application STABILITY Note T_TOTAL T_TOTAL SENSe 9-6 Remote Operation - Application Commands Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 191 ASAMPLES RESULT Remote Operation - Application Commands 9-7 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 192 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 193 HP-IB Programming Examples Remote Operation - Programming Examples 10-1 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 194 Example 1 Function Listing Description Exit 10-2 Remote Operation - Programming Examples Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 195 Example 2 Function Listing Description sens1:pow Remote Operation - Programming Examples 10-3 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 196 read1:pow 10-4 Remote Operation - Programming Examples Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 197 Example 3 Function Listing Remote Operation - Programming Examples 10-5 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 198 Description fetch1:pow 10-6 Remote Operation - Programming Examples Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 199 Example 4 Function NNNNNNNNNNN Local Listing Remote Operation - Programming Examples 10-7 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 200 Description 10-8 Remote Operation - Programming Examples Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 201 Remote Operation - Programming Examples 10-9 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 202 Example 5 Function Listing 10-10 Remote Operation - Programming Examples Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 203 Remote Operation - Programming Examples 10-11 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 204 Description 10-12 Remote Operation - Programming Examples Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 205 Example 6 Function Listing Remote Operation - Programming Examples 10-13 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 206 Description 10-14 Remote Operation - Programming Examples Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 207 Example 7 Function Listing Remote Operation - Programming Examples 10-15 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 208 Description Exit 10-16 Remote Operation - Programming Examples Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 209: Installation

    Installation Safety Considerations Installation A-1 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 210: Initial Inspection

    Initial Inspection Warning Line Power Requirements Line Power Cable A-2 Installation Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 211 Warning Installation A-3 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 212: Operating Environment

    Operating Environment Warning A-4 Installation Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 213 Temperature Humidity Instrument Cooling Input/Output Signals Caution HP-IB Interface Installation A-5 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 214 Cables and Adapters Connector Caution A-6 Installation Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 215 Caution HP-IB Logic Levels Note Removing and Fitting Modules How to Remove a Module Caution Installation A-7 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 216 How to Fit a Module Caution A-8 Installation Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 217: Storage And Shipment

    Storage and Shipment Installation A-9 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 218: Claims And Repackaging

    Claims and Repackaging Return Shipments to HP A-10 Installation Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 219 Accessories Mainframe Accessories B-1 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 220 Modules B-2 Accessories Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 221: Connector Interfaces And Other Accessories

    Connector Interfaces and Other Accessories Caution Accessories B-3 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 222 B-4 Accessories Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 223: Speci Cations

    Speci cations Mainframe Speci cations Speci cations C-1 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 224 HP-IB interface Function code SH1, AH1, T6, L4, SR1, RL1, PP0, DC1, DT1, CO, E2 SCPI command set HP-IB capability modes and parameters can be programmed Transfer time 20ms for one measurement result < 800ms for 100 measurement results out of memory C-2 Speci cations Artisan Technology Group - Quality Instrumentation ...
  • Page 225: Declaration Of Conformity

    Declaration of Conformity Speci cations C-3 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 226 Supplementary Information: C-4 Speci cations Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 227: Acoustic Noise Emission

    Acoustic Noise Emission Speci cations C-5 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 228 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 229: Function Tests

    Function Tests Introduction Equipment Required Function Tests D-1 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 230: Test Record

    Test Record Test Failure Instruments Speci cations D-2 Function Tests Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 231 IA. Function Test Using the HP 81533A Note Display Function and Module Interface Tests Display Function Tests Function Tests D-3 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 232 Note Module Interface Tests Note D-4 Function Tests Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 233 Function Tests D-5 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 234 ANALOG INPUT (8152A IN) P.CTRL IB. Function Test using a HP 81530/31/32 or HP 81536A Note D-6 Function Tests Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 235 SELFTEST SELFTEST Zeroing -- -- HP-IB Interface Test (Optional) *IDN? HEWLETT-PACKARD, 8153A, 0, n.n Function Tests D-7 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 236 D-8 Function Tests Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 237 Function Tests D-9 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 238 D-10 Function Tests Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 239 Function Tests D-11 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 240 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 241: Cleaning Procedures

    Cleaning Procedures whenever possible use physically contacting dry connectors Cleaning Materials Cleaning Procedures E-1 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 242 Cleaning Fiber/Front-Panel Connectors Cleaning Connector Interfaces Note E-2 Cleaning Procedures Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 243 Cleaning Connector Bushings Caution Cleaning Detector Windows Cleaning Lens Adapters Caution Cleaning Procedures E-3 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 244 Cleaning Detector Lens Interfaces Note E-4 Cleaning Procedures Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 245 Local Control Summary Chan Local Mode Measure Mode MEAS Param Disp dBm/W Zero N Dig Auto Down Local Control Summary F-1 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 246 Menu Mode MENU System Loss Record STABILTY T_TOTAL AUTODUMP LOGGING SAMPLES AUTODUMP START THRESHLD THRESHLD MAN LOGG Exec PLOT PRINT AUTOSCAL Y_MIN Y_MAX COMMENT More SHOW MAXIMUM MINIMUM DIFF AVERAGE F-2 Local Control Summary Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 247 ALIGNMNT TYPE DELTA MAXPOWER Edit Exec Pause Prev Next System Mode MENU SYS RECALL STORE HPIB ADDRESS MODE Local Control Summary F-3 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 248 LANGUAGE DISPLAY BRIGHT DATETIME MM/DD/YY HH:MM:SS F-4 Local Control Summary Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 249 HP 8153A HP-IB Command Summary Mnemonic Function Complete source handshake capability Complete acceptor handshake capability Basic talker; serial poll; unaddressed to talk if addressed to listen; no talk only Basic listener; unaddressed to listen if addressed to talk; no listen only...
  • Page 250 G-2 Remote Operation Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 251 *CLS *ESE *ESE? *ESR? *IDN? *OPC *OPC? *RST *SRE *SRE? *STB? *TRG *TST? *WAI ABORt ABORt 1 DISPlay DISPlay :BRIGhtness < > :BRIGhtness? < > :STATe :STATe ? FETCh FETCh 1 :SCALar :POWER :DC ? Remote Operation G-3 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 252 INITiate INITiate 1 :IMMediate :CONTinuous < > :CONTinuous? PROGram PROGram 1 :[:SELected] :EXECute :NAME < > :NAME? :NUMBer < > < > :NUMBer? < > :STATe CONTinue PAUSe STOP :STATe? The Logging Application application LOGGING Parameters SAMPLES LOGGSTART G-4 Remote Operation Artisan Technology Group - Quality Instrumentation ...
  • Page 253 THRESHOLD Results ASAMPLES RESULT The Stability Application application STABILITY Parameters T_TOTAL Results ASAMPLES RESULT READ READ 1 :SCALar :POWER :DC ? Remote Operation G-5 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 254 SENSe SENSe 1 :POWer < > < > :ATIME :ATIME? :RANGe < > < > :UPPER :UPPER ? :AUTO < > :AUTO? :WAVElength < > < > :WAVElength? 2, < > < > :REFerence TOREF :REFerence? TOREF :STATe < > :STATe? :RATIo TOREF...
  • Page 255 SOURce SOURce 1 :INTernal < > < > :FREQuency :FREQuency? :POWer :ATTenuation 1 < > < > :ATTenuation 1 :STATe < > :STATe? :WAVElength UPPer LOWer BOTH :WAVElength? Remote Operation G-7 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 256 STATus :CONDition? :ENABle < > :ENABle? :EVENt ? :NTRansition < > :NTRansition? :PTRansition < > STATus :OPERation :SETTling :LPELTier :HPELTier :MEASuring :POWer :TRIGger :POWer :CORRecting :ZERO :AVERaging :POWer :PROGram : < > G-8 Remote Operation Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 257 STATus :CONDition? :ENABle < > :ENABle? :EVENt ? :NTRansition < > :NTRansition? :PTRansition < > STATus :QUEStionable :POWer :OVERRange :LCURRent :HCURRent :LMONitor :HMONitor :ENVTemp :ISUMmary :INSTrument 1 :POWer STATus:SOURce STATus :SOURce :CONDition? :ENABle :ENABle? < > :EVENt ? :NTRansition < >...
  • Page 258 SYSTem SYSTem :ERRor? < > , < > , < > :DATE :DATE? :TIME < > , < > , < > :TIME? G-10 Remote Operation Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 259 HP 8152A HP-IB Command Summary Di erences Note DB(MW) CAL? WCAL? FETCh FETCh WVL? ERR? LERR? Remote Operation H-1 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 260 Note Example CH1;Q1;CH2;U1 H-2 Remote Operation Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 261 Using the FETCh Command - An Example Old Program (HP 8152A) New Program (HP 8153A) ..1300 SUB Single_meas(P$) 1300 SUB Single_meas(P$) 1310 CLEAR Pmm 1310 CLEAR Pmm 1320 OUTPUT Pmm;"CSB"...
  • Page 262: Setting The Filter

    Setting the Filter *RST H-4 Remote Operation Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 263 Listener Function Settings Remote Operation H-5 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 264 1, < > 2, < > 1, < > 2, < > 1, < > 2, < > 1, < > DBM H-6 Remote Operation Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 265 2, < > DBM 3, < > < > Standard Parameter Set Remote Operation H-7 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 266 Talker Function Interrogating Settings LRN? ZER? F? 1 RNG? 1 RNG? WVL? 1 WVL? H-8 Remote Operation Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 267 REF? 1 REF? Remote Operation H-9 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 268: Status/Error Reporting

    Status/Error Reporting Parameter/Operation Mnemonic Comment Status Byte Returns 3-digit integer (000-191) Status Byte Mask Returns 3-digit integer (000-191) Condition Byte Returns 2-digit integer (00-63) Self-test Executes self-test, returns 0 for pass and 1 for fail Error Number Returns 3-digit integer representing error code Last Error Number Returns 3-digit integer for last active error...
  • Page 269: Error Codes

    Error Codes Local Operation Error Codes Module Related Errors LIGHT NO HEAD SETTLING NO HEAD MODULE MODULE MODULE MODULE KEY JAM Error Codes I-1 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 270 Speci c Error Identi ers Store and Recall Errors CH EMPTY MISMATCH NO DATA MEM FAIL Plot, Print, Show, and Manual Logging Errors NO DATA INVALID TLKONLY? Loss Errors CONFIG CONFIG I-2 Error Codes Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 271 HP-IB Errors HP-IB Error Codes No Error Instrument Speci c Errors Error Codes I-3 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 272: Command Errors

    Command Errors I-4 Error Codes Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 273 > Error Codes I-5 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 274: Execution Errors

    Execution Errors I-6 Error Codes Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 275: Device Dependant Errors

    Device Dependant Errors *RCL Error Codes I-7 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 276: Query Errors

    Query Errors I-8 Error Codes Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 277 Sales and Service Oces Sales and Service Oces J-1 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 278 J-2 Sales and Service Oces Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 279 Backdating Instruments with Serial Numbers 2946G00475 and Earlier Backdating K-1 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 280 Instruments with Serial Numbers 2946G00225 and Earlier The Print Application PRINT SAMPLE COMMENT Edit EDIT SAMPLES SAMPLES Edit COMMENT COMMENT Note COMMENT ! ? . , : ; & | @ K-2 Backdating Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 281 # $ % * [ ] Edit Next Prev Backdating K-3 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 282 Index Index-1 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 283 Index-2 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 284 Index-3 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 285 Index-4 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 286 Index-5 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 287 Index-6 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 288 Index-7 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 289 Index-8 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...

Table of Contents