Table of Contents

Advertisement

Quick Links

Cyclone 10 LP RefKit
User Guide
Please read the legal disclaimer at the end of this document.
Revision 1.0

Advertisement

Table of Contents
loading

Summary of Contents for Arrow Cyclone 10 LP RefKit

  • Page 1 Cyclone 10 LP RefKit User Guide Please read the legal disclaimer at the end of this document. Revision 1.0...
  • Page 2: Table Of Contents

    Layout and Components ....................7 Hardware variations ......................9 Block Diagram ......................... 10 Connections and Peripherals of the Cyclone 10 LP RefKit Board ......11 Board Status Elements ....................11 Clock Circuitry ......................... 11 Peripherals Connected to the FPGA ................12 3.3.1...
  • Page 3 New Project with Cyclone 10 LP RefKit ............... 34 Creating a new Blinky Project with Cyclone 10 LP RefKit ..........34 Building a Blinky Project with Cyclone 10 LP RefKit ............39 5.2.1 Block Diagram ......................39 5.2.2 Components of the Design ..................39 5.2.3...
  • Page 4: Table Of Figures

    Table of Figures Figure 1 – Cyclone 10 LP RefKit Board (top view) ................7 Figure 2 – Cyclone 10 LP RefKit Board (bottom view) ..............8 Figure 3 - Cyclone 10 LP RefKit Block Diagram ................10 Figure 4 – Position of Indication LEDs .................... 11 Figure 5 –...
  • Page 5: Cyclone 10 Lp Refkit Development Board

    64-bit Linux / Microsoft Windows 10 operating system or later. 1.2 Useful Links A set of useful links that can be used to get relevant information about the Cyclone 10 LP RefKit or the Cyclone 10 LP FPGA.
  • Page 6: Getting Help

    Here are the addresses where you can get help if you encounter any problems: • Arrow Electronics In Person Arrow EMEA + 49 (0) 6102 5030 0 Online https://arrow.com • Trenz Electronic GmbH https://www.trenz-electronic.de/en/ Cyclone 10 LP RefKit User Guide www.arrow.com Page | 6 February 2022...
  • Page 7: Introduction To The Cyclone 10 Lp Refkit Board

    C n gura as mem ry laster micr c nnect r ri ge ser u yper Cycl ne ea er Figure 1 – Cyclone 10 LP RefKit Board (top view) Cyclone 10 LP RefKit User Guide www.arrow.com Page | 7 February 2022...
  • Page 8: Figure 2 - Cyclone 10 Lp Refkit Board (Bottom View)

    I las em ry Cl c I s Figure 2 – Cyclone 10 LP RefKit Board (bottom view) The following features are available on the Cyclone 10 LP RefKit board: FPGA Device • Intel Cyclone 10 LP 10CL055YU484C8G device. Features of the FPGA on the C10LP RefKit:...
  • Page 9: Hardware Variations

    0 to +3.3 V 2.2 Hardware variations Multiple board configurations are available with Cyclone 10 LP RefKit have different equipment. This user guide covers REV02 hardware revision with 8C and 8CA featured boards. These two boards are the same with the exception that different memory devices have been mounted.
  • Page 10: Block Diagram

    Figure 3 represents the block diagram of the board. All the connections are established through the Cyclone 10 LP FPGA device to provide maximum flexibility for users. Users can configure the FPGA to implement any system design. Figure 3 - Cyclone 10 LP RefKit Block Diagram Cyclone 10 LP RefKit User Guide www.arrow.com...
  • Page 11: Connections And Peripherals Of The Cyclone 10 Lp Refkit Board

    12MHz and 25MHz. Both clock signals are connected and driving the FPGA’s user logic and other interfaces (Arrow USB Programmer2 and Ethernet). There are optional slots for other clocks that you can either add another preferred clock source to the FPGA (CLK_IN_SMA) or generate an FPGA-controlled clock (CLK_OUT_SMA).
  • Page 12: Peripherals Connected To The Fpga

    CL I scillat r scillat r Figure 5 – Cyclone 10 LP RefKit Clock Tree Board Reference FPGA Pin No. Pin Func. Description I/O Std CLK12M PIN_G21 Input 12MHz clock input 3.3 V CLK_25M PIN_AA12 Input 25MHz clock input 3.3 V...
  • Page 13: Figure 6 - Jtag Connections

    Channel A of FTDI chip is used in MPPSE mode for JTAG. Channel B is routed to FPGA and is usable for other standard interfaces. Figure 7 – FTDI Connections Cyclone 10 LP RefKit User Guide www.arrow.com Page | 13...
  • Page 14: Fast Ethernet

    Management Interface Data 3.3 V ETH1_MDC PIN_AA22 Output Management Interface Clock 3.3 V ETH1_COL PIN_T19 Bidir MII Collision Detect 3.3 V ETH1_CRS PIN_R20 Bidir MII Carrier Sense 3.3 V Cyclone 10 LP RefKit User Guide www.arrow.com Page | 14 February 2022...
  • Page 15: Serial Configuration Flash Memory

    Several interfaces available with Nios II embedded processors allow you to access the serial configuration device as a memory module connected to your embedded system. Cyclone 10 LP RefKit User Guide www.arrow.com Page | 15 February 2022...
  • Page 16: Hyperram

    HR_D4 PIN_R14 Bidir Data [4] 3.3 V HR_D5 PIN_V16 Bidir Data [5] 3.3 V HR_D6 PIN_U16 Bidir Data [6] 3.3 V HR_D7 PIN_U17 Bidir Data [7] 3.3 V Cyclone 10 LP RefKit User Guide www.arrow.com Page | 16 February 2022...
  • Page 17: Sdram Memory

    3.3 V PIN_AB20 Bidir SDRAM Data [7] 3.3 V The size of the mounted SDRAM depends on the board variation. For detailed information, please refer to Chapter 2.2. Cyclone 10 LP RefKit User Guide www.arrow.com Page | 17 February 2022...
  • Page 18: Qspi Flash Memory

    The EEPROMs are pre-programmed with a globally unique EUI-48 node address. The size of the mounted QSPI Flash depends on the board variation. For detailed information, please refer to Chapter 2.2. Cyclone 10 LP RefKit User Guide www.arrow.com Page | 18...
  • Page 19: Adc/Dac

    3.3 V MCLK PIN_T5 Output Serial Clock Input 3.3 V MOSI PIN_T4 Output Master Output Slave Input 3.3 V MISO PIN_R6 Input Master Input Slave Output 3.3 V Cyclone 10 LP RefKit User Guide www.arrow.com Page | 19 February 2022...
  • Page 20: I2C Grove Connector

    3.3V power to the connector Ground output to the connector Note: The EEPROMs are also connected to this I2C bus, 0x50h and 0x51h addresses are reserved for these EEPROMs. Cyclone 10 LP RefKit User Guide www.arrow.com Page | 20 February 2022...
  • Page 21: Arduino Header

    3.3 V PIN_J4 J1 / 6 Bidir Digital I/O [13] 3.3 V J1 / 7 Ground output to the connector AREF J1 / 8 Input reference voltage for ADC/DAC Cyclone 10 LP RefKit User Guide www.arrow.com Page | 21 February 2022...
  • Page 22: Pmod Connectors

    2  6 pins or 1  12 pins c et c et c et c et c et c et Figure 17 – PMOD Headers Connections Cyclone 10 LP RefKit User Guide www.arrow.com Page | 22 February 2022...
  • Page 23 3.3 V P6_IO4 PIN_A20 P6 / 4 Bidir PMOD I/O [4] of P6 3.3 V P6_IO5 PIN_A18 P6 / 7 Bidir PMOD I/O [5] of P6 3.3 V Cyclone 10 LP RefKit User Guide www.arrow.com Page | 23 February 2022...
  • Page 24: Vga

    VGA_G1 PIN_W1 Output VGA Green [1] 3.3 V VGA_G2 PIN_V1 Output VGA Green [2] 3.3 V Pins 5, 6, 11, and 12 applies to all, P1..6 PMOD connectors. Cyclone 10 LP RefKit User Guide www.arrow.com Page | 24 February 2022...
  • Page 25: Leds

    Output 3.3 V LED2 PIN_AA10 Output 3.3 V LED3 PIN_AA9 Output 3.3 V LED4 PIN_Y10 Output 3.3 V LED5 PIN_W10 Output 3.3 V LED6 PIN_U9 Output 3.3 V Cyclone 10 LP RefKit User Guide www.arrow.com Page | 25 February 2022...
  • Page 26: Push Buttons

    USER_BTN3 PIN_V11 Input User button 3.3 V USER_BTN4 PIN_T10 Input User button 3.3 V USER_BTN5 PIN_T11 Input User button 3.3 V RST_GPIO PIN_V15 Input User button 3.3 V Cyclone 10 LP RefKit User Guide www.arrow.com Page | 26 February 2022...
  • Page 27: 7-Segment Led Display

    SEG_CE PIN_K18 Output Segment E 3.3 V SEG_CF PIN_F19 Output Segment F 3.3 V SEG_CG PIN_J18 Output Segment G 3.3 V SEG_CDP PIN_H19 Output Decimal Point 3.3 V Cyclone 10 LP RefKit User Guide www.arrow.com Page | 27 February 2022...
  • Page 28: Power Tree

    Figure 22 – Quadruple Seven-segment LED Display’s Internal Circuit Diagram 3.3.16 Power Tree The Cyclone 10 LP RefKit is powered by circuit Enpiri n’s uc regulator which provides high efficiency on a small layout. The board is powered through a 2.0mm DC Jack connector. All devices are powered by a 3.3V voltage line and the 5V and 3.3V lines are fed back to the Arduino header...
  • Page 29: Figure 23 - Power Tree Connections

    DC ac Circuit r tec DC D C yper I las laster Et ernet scillat rs I C r e r uin Figure 23 – Power Tree Connections Cyclone 10 LP RefKit User Guide www.arrow.com Page | 29 February 2022...
  • Page 30: Software And Driver Installation

    Questa – Intel FPGA Edition (includes Starter Edition) Cyclone 10 LP device support 4.1.5 Click on button to begin the download and save them in the same folder. Cyclone 10 LP RefKit User Guide www.arrow.com Page | 30 February 2022...
  • Page 31: Installing Arrow Usb Programmer2

    Arrow USB Programmer2 to be able to connect to the C10LP RefKit board. 4.2 Installing Arrow USB Programmer2 The Cyclone 10 LP RefKit board uses version 2 of the Arrow USB Programmer2 programming solution, that is an FTDI FT2232H Hi-Speed USB controller plus a programmer DLL. Since this FTDI USB controller is a very common standard device, usually no specific drivers are needed to make the C10LP RefKit work.
  • Page 32 4.2.2 After downloading the file, run the installer to install the Arrow USB Programmer2. The setup executable installs the programmer DLL and adds some keys to the registry of the 4.2.3 After connecting the C10LP RefKit board to the PC, two unknown devices might appear in t e “...
  • Page 33: License

    In the Create Computer window, fill in the fields with your computer details and click on Generate License. The license file will be provided by email, or you can also download it under Intel FPGA Self-Service Licensing Center. Cyclone 10 LP RefKit User Guide www.arrow.com Page | 33 February 2022...
  • Page 34: New Project With Cyclone 10 Lp Refkit

    New Project with Cyclone 10 LP RefKit 5.1 Creating a new Blinky Project with Cyclone 10 LP RefKit 5.1.1 Launch Quartus Prime Lite Edition from the Start Menu. 5.1.2 In the Quartus Prime tool, create a new project: File -> New Project Wizard.
  • Page 35 Browse in the project directory and choose a preferred location for the new project. Then create new folder named C10LPRefKit_blinky. This will be the folder containing all the project files. 5.1.5 Enter the project name: “t p”. 5.1.6 Click “Next” Cyclone 10 LP RefKit User Guide www.arrow.com Page | 35 February 2022...
  • Page 36 The Add File window will appear. For this tutorial, new design files will be created so no files will be added. For other designs, files could be added here. 5.1.10 Click “Next” Cyclone 10 LP RefKit User Guide www.arrow.com Page | 36...
  • Page 37 Quartus Prime for design entry, simulation, verification, and board-level timing. For this tutorial, no EDA software will be used, as only Quartus Prime will be used. Cyclone 10 LP RefKit User Guide www.arrow.com Page | 37...
  • Page 38 5.1.15 Project Summary Page This is the Summary Page that shows the settings Quartus Prime will use for this Project. Those settings can be changed if required later. 5.1.16 Click “Finish” Cyclone 10 LP RefKit User Guide www.arrow.com Page | 38 February 2022...
  • Page 39: Building A Blinky Project With Cyclone 10 Lp Refkit

    5.2 Building a Blinky Project with Cyclone 10 LP RefKit Overview: In this section you will create the components to a design, make connections, set the pins, and compile a project. The goal is to go through the design process of a simple blinky project, where the toggle speed of the LEDs could be controlled by one of the pushbuttons of the C10LP RefKit.
  • Page 40: Catalog Ip

    “ LL” 5.2.4.1 In the Search bar of the IP Catalog, type “pll” and select ALTPLL which stands for Altera Phase Locked Loop. Cyclone 10 LP RefKit User Guide www.arrow.com Page | 40...
  • Page 41 12MHz for the inclk0 input. The setting should look like this: 5.2.4.5 Click “Next” 5.2.4.6 Simplify the PLL, by disabling ‘areset’ and ‘locked output’. The setting should look like this: Cyclone 10 LP RefKit User Guide www.arrow.com Page | 41 February 2022...
  • Page 42 20 MHz, leave the rest as default. For simplification, there is one input to the PLL (12 MHz), and one output of the PLL (20 MHz) Cyclone 10 LP RefKit User Guide www.arrow.com Page | 42...
  • Page 43 Prime IP Files to all projects”. 5.2.4.15 Click “Yes” to allow all of the IP to automatically be added to the project, and so that this message will not be seen for other designs. Cyclone 10 LP RefKit User Guide www.arrow.com Page | 43...
  • Page 44: Create And Configure The Counter

    LEDs toggling. 5.2.5.6 Change this number to 32. 5.2.5.7 Let the counter to be Up only, so the LEDs will show the counters counting up. Cyclone 10 LP RefKit User Guide www.arrow.com Page | 44...
  • Page 45 5.2.5.8 Select “Next” until reaching Page 5. 5.2.5.9 Select simple_counter.bsf checkbox to generate a symbol for our schematic design. 5.2.5.10 Click “Finish” The counter is now created. Cyclone 10 LP RefKit User Guide www.arrow.com Page | 45 February 2022...
  • Page 46: Create And Configure The Multiplexer

    5.2.6.5 Select 2 data inputs and the width of the input and output buses to be 8 bits. The reason for 8 bits is that there are 8 LEDs to be toggled (showing count values). The screen should look like this now: Cyclone 10 LP RefKit User Guide www.arrow.com Page | 46...
  • Page 47 5.2.6.6 Click “Next” until Page 3. 5.2.6.7 Select counter_mux.bsf checkbox to generate a symbol for our schematic design. 5.2.6.8 Click “Finish” Cyclone 10 LP RefKit User Guide www.arrow.com Page | 47 February 2022...
  • Page 48: Adding The Components To The Schematic

    5.2.7.3 Right click on the schematic page and select Insert → Symbol… as seen below. 5.2.7.4 In the new window, expand “Project” and the three components that were created can now be seen. Cyclone 10 LP RefKit User Guide www.arrow.com Page | 48...
  • Page 49 5.2.7.9 After adding three components, your schematic should look similar to the following. To place them similarly, simply drag the components to the appropriate locations. Cyclone 10 LP RefKit User Guide www.arrow.com Page | 49...
  • Page 50: Connecting The Components

    5.2.8.1 Select the “ ode Tool”. 5.2.8.2 Connect the c0 of the PLL to the simple_counter as shown below: This will mean that a single signal (c0) is connected to the simple_counter (clock). Cyclone 10 LP RefKit User Guide www.arrow.com Page | 50...
  • Page 51 5.2.8.5 Right click on the output bus of the simple counter that you just created and select “Properties”. Set the name of the bus to: counter[31..0] The view of the “Bus Properties” should look like this: Cyclone 10 LP RefKit User Guide www.arrow.com Page | 51 February 2022...
  • Page 52 5.2.8.7 Do the same for input buses of the mux: data1x[7..0] → counter[24..31] Name the top bus input: Name the bottom bus input: data0x[7..0] → counter[19..26] Schematic should look like this: Cyclone 10 LP RefKit User Guide www.arrow.com Page | 52 February 2022...
  • Page 53: Add Inputs, Outputs To The Schematic

    5.2.9.4 Rename the pin_name2 to USER_BTN by double clicking its current name. This is going to be one of the user buttons of the C10LP RefKit board to select the mux. Cyclone 10 LP RefKit User Guide www.arrow.com Page | 53...
  • Page 54 5.2.9.8 Rename the pin to LED[7..0]. 5.2.9.9 Using the “Bus Tool”, make the connection between counter_mux component and output pin: result[7..0] → LED[7..0] The final schematic should look like the following: Cyclone 10 LP RefKit User Guide www.arrow.com Page | 54 February 2022...
  • Page 55: Analysis And Synthesis

    Analysis and synthesis open Processing → Start → Analysis and Synthesis or from clicking button on the top toolbar. There should be no errors. If there are errors, they should be fixed before continuing and Analysis and Synthesis run again. Cyclone 10 LP RefKit User Guide www.arrow.com Page | 55 February 2022...
  • Page 56: Adding Timing Constraints

    “set false pat ” tells the software to not do any timing optimization to the stated paths/pins. The I/Os of this design are trivial, so they can be ignored in the Timing Analysis. Cyclone 10 LP RefKit User Guide www.arrow.com Page | 56...
  • Page 57: Pinning Assignments

    Quartus Assignment Editor, or by importing constraints from a text file or spreadsheet. 5.2.12.1 Open the Pin Planner: Assignments → Pin Planner. A new window will open as seen below: Cyclone 10 LP RefKit User Guide www.arrow.com Page | 57 February 2022...
  • Page 58 5.2.12.3 The other pins need to be assigned as well. Just like previously set all the pins to their appropriate locations using the table below, by either drag and drop or writing manually the location. Cyclone 10 LP RefKit User Guide www.arrow.com Page | 58...
  • Page 59 LVTTL. These I/O standards can be set in the Pin Planner, by selecting the I/O Standard. Select the I/O standard either from the “All Pins” tab or the “Groups” tab and change the 2.5V (default) to the specific I/O standard. Cyclone 10 LP RefKit User Guide www.arrow.com Page | 59...
  • Page 60: Compiling The Design

    Open Assignments → Device → Device and Pin Options → Voltage and set Default I/O Standard to “3.3-V LVTTL” and press “OK” to all the windows. Cyclone 10 LP RefKit User Guide www.arrow.com Page | 60...
  • Page 61: Reading The Compilation Report

    100% indication along with the compile time in the right bottom corner. 5.2.14 Reading the Compilation Report After successfully compiling the design, a Compilation Report should appear as shown above: Cyclone 10 LP RefKit User Guide www.arrow.com Page | 61...
  • Page 62 Most notable reports in this folder are the maximum frequency the design can achieve, setup and hold slack, unconstrained paths in case they were missed, etc. Cyclone 10 LP RefKit User Guide www.arrow.com Page | 62...
  • Page 63: Configuring The Cyclone 10 Lp Refkit

    ’s De ice Manager should display the following entries are highlighted in red (port number may differ depending on your PC). If the Arrow USB Blaster is not installed, please refer to Chapter 4.2 for installing the drivers.
  • Page 64 Click “Close” 6.1.6 Make sure the hardware setup is Arrow-USB-Blaster [USB0] and the mode is JTAG. If the Mode is not set to JTAG, click on it, and select JTAG from the drop-down menu. Cyclone 10 LP RefKit User Guide www.arrow.com...
  • Page 65 ” n t e elect Device window. 6.1.10 Double click <none> to choose programming file. 6.1.11 Navigate to <project_directory>/output_files/ in your compilation directory. Select and open the top.sof file. Cyclone 10 LP RefKit User Guide www.arrow.com Page | 65 February 2022...
  • Page 66: Serial Configuration Flash Memory Programming

    The configuration data to be written to EPCQ-A will be part of the JTAG indirect configuration file (.jic). This configuration data is automatically loaded from the serial configuration flash into the Cyclone 10 LP device when the board is powered up. Cyclone 10 LP RefKit User Guide www.arrow.com Page | 66...
  • Page 67: Programming File Generation

    6.2.1.4 Select Cyclone 10 LP for the Device family, choose EPCQ16A from the Configuration device tab, and make sure that the Active Serial is set to mode. Cyclone 10 LP RefKit User Guide www.arrow.com Page | 67...
  • Page 68 6.2.1.6 Select Flash Loader under Input files to covert settings and click on dd evice… button. 6.2.1.7 On the new window select Cyclone 10 LP as Device family and 10CL055Y as Device name. Cyclone 10 LP RefKit User Guide www.arrow.com...
  • Page 69 6.2.1.9 Select SOF Data under Input files to convert and click on dd File… button. 6.2.1.10 Go to <project_directory>/output_files/ and open top.sof. 6.2.1.11 Make sure that your settings are same as the picture below and if everything is correct. Cyclone 10 LP RefKit User Guide www.arrow.com Page | 69...
  • Page 70: Device Programming

    When you add the .jic file, the Programmer will automatically update the JTAG chain and put EPCQ-A flash memory. 6.2.2.4 Make sure the Programmer shows the correct file and correct parts in the JTAG chain and check the Program/Configure checkbox. Cyclone 10 LP RefKit User Guide www.arrow.com Page | 70 February 2022...
  • Page 71: Testing The Design

    Push and hold the S1 USER_BTN (central joystick button) to see that the LEDs will now toggle in a very fast counting sequence. USER_BTN is on the side of the LEDs. Releasing the USER_BTN, will make the LEDs toggle at a slower rate as before. Cyclone 10 LP RefKit User Guide www.arrow.com Page | 71...
  • Page 72: Common Issues And Fixes

    DLL is not properly loaded/unloaded, causing the Quartus Programmer to not detect the Arrow USB Programmer2. Solution: Restart the Altera JTAG Server using the Services application of Windows. Cyclone 10 LP RefKit User Guide www.arrow.com Page | 72 February 2022...
  • Page 73: Appendix

    Appendix 8.1 Revision History Version Change Log Date of Change V1.0 Initial Version 17/02/2022 Cyclone 10 LP RefKit User Guide www.arrow.com Page | 73 February 2022...
  • Page 74: Legal Disclaimer

    Your sole risk. WARRANTY Arrow warrants that it has the right to provide the evaluation board to you. This warranty is provided by Arrow in lieu of all other warranties, written or oral, statutory, express or implied, including any warranty as to...
  • Page 75 Arrow cooperates in the defense and furnishes all related evidence under its control at Your expense. Arrow will be entitled to participate in the defense of such claim or action and to employ counsel at its own expense.

Table of Contents