Contec AD12-16(PCI)E User Manual

Contec AD12-16(PCI)E User Manual

Analog input board for pci
Hide thumbs Also See for AD12-16(PCI)E:
Table of Contents

Advertisement

Contec AD12-16(PCI)E User Manual

Advertisement

Table of Contents
loading

Summary of Contents for Contec AD12-16(PCI)E

  • Page 1 Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment SERVICE CENTER REPAIRS WE BUY USED EQUIPMENT • FAST SHIPPING AND DELIVERY Experienced engineers and technicians on staff Sell your excess, underutilized, and idle used equipment at our full-service, in-house repair center We also offer credit for buy-backs and trade-ins •...
  • Page 2 PC-HELPER Analog Input Board for PCI AD12-16(PCI)E AD12-16U(PCI)E AD16-16(PCI)E User’s Guide CONTEC CO.,LTD. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 3 Check Your Package Thank you for purchasing the CONTEC product. The product consists of the items listed below. Check, with the following list, that your package is complete. If you discover damaged or missing items, contact your retailer. Product Configuration List - Board (One of the following) …1...
  • Page 4: Copyright

    No part of this document may be copied or reproduced in any form by any means without prior written consent of CONTEC CO., LTD. CONTEC CO., LTD. makes no commitment to update or keep current the information contained in this document. The information in this document is subject to change without notice.
  • Page 5: Table Of Contents

    Step 1 Installing the Software....................... 11 About the driver to be used......................11 Starting the Install Program ......................12 Select API-AIO(WDM) ......................... 13 Select API-AIO(98/PC) ......................... 14 AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 6 Connector Pin Assignment......................45 Analog Input Signal Connection......................47 Single-ended Input..........................47 Differential Input ..........................48 Analog Output Signal Connection ......................49 Digital I/O signals and Control signals Connection................50 AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 7 Uninstalling the Driver Libraries......................81 CD-ROM Directory Structure ......................82 ABOUT HARDWARE Hardware specification ......................... 83 Block Diagram............................87 Timing of Sampling Control Signals....................88 About Calibration..........................89 AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 8 AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 9: Before Using The Product

    AD12-16(PCI)E, AD12-16U(PCI)E and AD16-16(PCI)E are PCI-compliant interface boards that convert analog input signals to digital equivalents (performing analog-to-digital conversion). < AD12-16(PCI)E > is the general-purpose type that can perform A-D conversion at a conversion speed of 10µsec per channel and a resolution of 12-bit.
  • Page 10: Support Software

    This driver is used to control CONTEC analog I/O boards (PC Cards) from within Linux. You can control CONTEC I/O boards easily using the shared library used by gcc and Kylix, the device driver (module) for each kernel version, and the board (PC Card) configuration program (config).
  • Page 11: Cable & Connector (Option)

    *2 A PCB37PS -*P optional cable is required separately. (0.5m is recommended.) *3 A DT/E2 and PCB15P-1.5 optional cable is required separately. Check the CONTEC’s Web site for more information on these options. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 12: Customer Support

    You can download updated driver software and differential files as well as sample programs available in several languages. Note! For product information Contact your retailer if you have any technical question about a CONTEC product or need its price, delivery time, or estimate information. Limited Three-Years Warranty CONTEC Interface products are warranted by CONTEC CO., LTD.
  • Page 13: Safety Precautions

    CAUTION indicates a potentially hazardous situation which, if not avoided, may CAUTION result in minor or moderate injury or in property damage. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 14: Handling Precautions

    Even when using the product continuously, be sure to read the user’s guide and understand the contents. Do not modify the product. CONTEC will bear no responsibility for any problems, etc., resulting from modifying this product. Regardless of the foregoing statements, CONTEC is not liable for any damages whatsoever (including damages for loss of business profits) arising out of the use or inability to use this CONTEC product or the information contained herein.
  • Page 15: Environment

    (3) Store the package at room temperature at a place free from direct sunlight, moisture, shock, vibration, magnetism, and static electricity. Disposal When disposing of the product, follow the disposal procedures stipulated under the relevant laws and municipal ordinances. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 16 1. Before Using the Product AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 17: Setup

    This chapter Step 2 Setting the Hardware This chapter Step 3 Installing the Hardware Chapter 3 External Connection Chapter 6 About Hardware AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 18: Using The Board Under An Os Other Than Windows

    For using the board under an OS other than Windows, see the following parts of this user’s guide. This chapter Step 2 Setting the Hardware Chapter 3 External Connection Chapter 6 About Hardware AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 19: Step 1 Installing The Software

    Is the first use of the analog board? Is the upgrade of existing system using API-AIO(98/PC)W95/NT? Usable language VC4, VC2, VB4 VC6.5, VB6.5, Delphi, C++Builder API-AIO(WDM) API-AIO(98/PC)W95, NT AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 20: Starting The Install Program

    (3) Click on the [Install the drivers] button. CAUTION Before installing the software in Windows XP, 2000, or NT, log in as a user with administrator privileges. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 21: Select Api-Aio(Wdm)

    Run the installation (1) Complete the installation by following the instructions on the screen. (2) The Readme file appears when the installation is complete. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 22: Select Api-Aio(98/Pc)

    (3) Select “Driver, Help, etc..(Full Install)”. (4) Click on the [Install] button. Clicking on the [Details …] button displays detailed information on API-AIO(WDM), API-AIO(98/PC). AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 23 * When the hardware has already been installed: Check “Perform a hardware setup now(API-TOOL Configuration)”, then go to Step 4 “Initializing the Software”. You have now finished installing the software. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 24: Step 2 Setting The Hardware

    The board can be set up even with the factory defaults untouched. You can change board settings later. Parts of the Board and Factory Defaults Figure 2.1. to Figure 2.3. show the names of major parts on the board. Part Names < AD12-16(PCI)E > SW for setting board ID Jumper for setting...
  • Page 25 JP12 1 2 3 1 2 3 Figure 2.2. Part Names Note that the switch and jumper setting shown below is the factory default. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 26 1 2 3 JP15 1 2 3 Figure 2.3. Part Names Note that the switch and jumper setting shown below is the factory default. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 27: Setting The Board Id

    When the board uses interrupts, it is assigned an interrupt level by the PC. CAUTION API-AIO(WDM) is designed to use interrupts. Set the jumper to use interrupts. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 28: Setting The Analog Input

    The input mode is the method of connecting analog input signals. The single-ended and differential input modes are available. The jumper settings for these modes are common to the AD12-16(PCI)E, AD12-16U(PCI)E and AD16-16(PCI)E. Use JP4 to JP6 to set the desired input mode.
  • Page 29 Do not set the jumpers to any strapping pattern other than those specified above. Doing so can result in a fault in the board. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 30: Setting The Analog Output

    1 2 3 JP11 JP11 JP11 1 2 3 1 2 3 1 2 3 Figure 2.10. Setting the Output Range < AD12-16(PCI)E > Bipolar -10V to +10V Bipolar -5V to +5V Unipolar 0V to +10V JP11 JP11 JP11 1 2 3...
  • Page 31: Setting The Counter Control And Digital I/O Signals

    Only one of the CNTOUT and DO3, which is selected by JP1, is output from CN2. API-AIO(WDM) does not support the counter functions. Leave the jumpers factory-set. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 32: Plugging The Board

    Make sure that your PC or expansion unit can supply ample power to all the boards installed. Insufficiently energized boards could malfunction, overheat, or cause a failure. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 33: Step 3 Installing The Hardware

    When Using API-AIO(WDM) (1) The “Add New Hardware Wizard” will be started. Select “Specify the location of the driver”, then click on the [Next] button. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 34 The setup information (INF) file is contained in the following folder on the bundled CD-ROM. \INF\WDM\AIO \INF\WDM\AIO You have now finished installing the hardware. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 35: When Using Api-Aio(98/Pc)

    Select “Specify the location of the driver”, then click on the [Next] button. If you are using Windows NT 4.0, the “Add New Hardware Wizard” is not started. Go to Step 4 “Initializing the Software”. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 36 The setup information (INF) file is contained in the following folder on the bundled CD-ROM. Windows XP, 2000 \INF\Win2000\Aio\PCI Windows Me, 98, 95 \INF\Win95\Aio\PCI Example of specifying the folder for use under Windows Me \INF\Win95\Aio\PCI AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 37 * The name of the board you have just added is displayed. - AD12-16(PCI)E - AD12-16U(PCI)E - AD16-16(PCI)E You have now finished installing the hardware. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 38: Step 4 Initializing The Software

    - AD12-16(PCI)E - AD12-16U(PCI)E - AD16-16(PCI)E (2) The installed hardware appears under the CONTEC Devices node. Open the CONTEC Devices node and select the device you want to setup (the device name should appear highlighted). Click [Properties]. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E...
  • Page 39 Make sure that you do not use the same name for more than one device. You have now finished installing the initial setting of Software. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 40: When Using Api-Aio(98/Pc)

    2. Setup When Using API-AIO(98/PC) Invoking API-TOOL Configuration (1) Open the Start Menu, then select “Programs” – “CONTEC API-PAC(W32)” – “API-TOOL Configuration”. (2) API-TOOL Configuration detects boards automatically. The detected boards are listed. Updating the Settings (1) Select “Save setting to registry…” from the “File” menu.
  • Page 41: Step 5 Checking Operations With The Diagnosis Program

    Check Method To check analog I/O data, connect the signal. The diagrams below show examples of using channel 0 on the AD12-16(PCI)E. For details on the connections, see Chapter 3 “External Connection”. Check the board with the factory defaults untouched.
  • Page 42: Using The Diagnosis Program For Use Of Api-Aio(Wdm)

    Using the Diagnosis Program for Use of API-AIO(WDM) Starting the Diagnosis Program Click the [Diagnosis] button on the device property page to start the diagnosis program. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 43 The upper row of circular lamps indicates the digital input states. Red indicates the bit is ON and brown indicates OFF. Clicking the lower row of switches turns the digital output bits ON or OFF. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 44 Clicking [Diagnosis Report] prompts you to specify where to save the report text file. * The name of the board you have just added is displayed. - AD12-16(PCI)E - AD12-16U(PCI)E - AD16-16(PCI)E AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 45 Clicking [Execution Time Measurement] runs the program to measure API function execution speed. The operation of this program is explained in "API function Execution Speed Measurement Program" in Chapter 5. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 46: Using The Diagnosis Program For Use Of Api-Aio(98/Pc)

    After selecting the board in [API-TOOL Configuration], run the diagnosis program. Follow the on- screen instructions. * The name of the board you have just added is displayed. - AD12-16(PCI)E - AD12-16U(PCI)E - AD16-16(PCI)E AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 47 You can select the input channel and input range from the lists. Input data is plotted on a graph. Analog output You can select the desired output channel and output range from the lists. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 48 The Diagnosis Program performs “board presence/absence check”, “interrupt test”, “driver file test”, “board setting test”, and so on. Click on [Report]. (2) A diagnosis report is displayed as shown below. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 49: Setup Troubleshooting

    If your problem cannot be resolved Refer to the troubleshooting section of API-AIO HELP. If there is no answer in it, please contact your retailer. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 50 2. Setup AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 51: External Connection

    Interface connector(CN2) It is the connector (CN3) for ATCH-16(PCI) - Applicable connector PS-16SEN-D4P1-1C [mfd.by JAE] or ATUH-16(PCI) Figure 3.1. Interface Connectors and Mating Connectors AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 52 *1-m shielded Relay terminal stand cable bundled DT/E2 Option PCA37P Cable For BNC connector Multi-function board PCB15P ATP-16 FTP-15 Figure 3.2. Examples of Connecting Options AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 53: Connector Pin Assignment

    Do not connect any of the outputs and power outputs to the analog or digital ground. Neither connect outputs to each other. Doing either can result in a fault. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 54 Do not connect any of the outputs and power outputs to the analog or digital ground. Neither connect outputs to each other. Doing either can result in a fault. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 55: Analog Input Signal Connection

    An input analog signal should not exceed the maximum input voltage (relate to the board analog ground). If it exceeds the maximum voltage, the board may be damaged. Connect all the unused analog input channels to analog ground. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 56: Differential Input

    An input analog signal should not exceed the maximum input voltage (relate to the board analog ground). If it exceeds the maximum voltage, the board may be damaged. Connect all the unused analog input channels to analog ground. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 57: Analog Output Signal Connection

    Do not short the analog output signal to analog ground, digital ground, and/or power line. Doing so may damage the board. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 58: Digital I/O Signals And Control Signals Connection

    Do not short the output signals to analog ground, digital ground, and/or power line. Doing so may damage the board. Reference For the operation timings for control signal input, see ”Timing of External Control Signals” in Chapter 6 “Hardware”. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 59: Functions

    2.Starting/Stopping Operation Start Stop 3.Monitoring the Status and Acquiring Data Status Sampling Repeat Data acquisition 4.Reset Status Memory 5.Extended function Simultaneous Sampling Control Channel Expansion AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 60: Setting The Conversion Conditions

    For individual channel numbers, see “Using the On-board Connectors” to “Connector Pin Assignment” in Chapter 3 "External Connection". You can specify an arbitrary number of points of analog input by setting the channels by means of software. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 61 The ring memory is used to obtain data where conversion has stopped due to some event, usually without obtaining data in the normal state. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 62 The clock signal from the on-board clock generator is used. External sampling clock The edge of the digital signal input from an external device is used for the sampling clock. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 63 Sampling and data transfer to memory start when the specified edge (rising edge or falling edge) is input from the external control signal. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 64 Sampling stops when the specified edge (rising edge or falling edge) is input from the external control signal. Software Sampling continues indefinitely in this mode. Sampling only stops in response to a software command or an error. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 65: Starting/Stopping Operation

    This event occurs when conversion stops due to an AD conversion error. 2. Starting/Stopping Operation Sampling is started by the software command. Once started, sampling can be stopped by the software command at any timing. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 66: Monitoring The Status And Acquiring Data

    The number of sampled items of input data stored in memory can be obtained by the software command. Repeat The current repeat count can be obtained by the software command. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 67 The FIFO memory deletes data once that data is acquired. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 68 Ex.: When input data 3072 is input at a resolution of 12-bit in the ± 10-V range Voltage = 3072 x (10 - (-10)) ÷ 4096 + (-10) = 5.0 AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 69: Reset

    Using the channel expansion sub-board ATCH-16(PCI) or ATUH-16(PCI) available as an option increases the maximum number of input channels to 32 (16 channels in differential input mode). AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 70: Analog Output Function

    : The range is specified by setting the jumpers. Please refer to the “Chapter2 Setup - Setting the Hardware - Setting the Analog Output”. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 71 - Output data "2663" converted to: 3.0029 V This error is a consequential error occurring when output data is obtained from an expected analog value. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 72: Digital Input Function

    EX. Input of bit 3 (OFF), bit 2 (ON), bit 1 (OFF) and bit 0 (ON) Byte data = 5(5H) Bit 0 Bit 3 Bit 2 Bit 1 0(OFF) 1(ON) 0(OFF) 1(ON) AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 73: Digital Output Function

    Ex. Output of bit 3 (ON), bit 2 (OFF), bit 1 (ON) and bit 0 (OFF) Byte data = 10(AH) Bit 3 Bit 2 Bit 1 Bit 0 0(OFF) 1(ON) 0(OFF) 1(ON) AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 74 4. Functions AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 75: About Software

    For details, refer to the help file. The help file provides various items of information such as “Function Reference”, “Sample Programs” and “Q&A”. Use them for program development and troubleshooting. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 76: When Using The Api-Aio(Wdm)

    When using the API-AIO(WDM) Accessing the Help File (1) Click on the [Start] button on the Windows taskbar. (2) From the Start Menu, select “Programs” – “CONTEC API-PAC(W32)” – “AIOWDM” – “API-AIO(WDM) HELP” to display help information. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E...
  • Page 77: Using Sample Programs

    The sample programs are stored in \Program Files\CONTEC\API-PAC(W32)\AIOWDM\Samples. Running a Sample Program (1) Click on the [Start] button on the Windows taskbar. (2) From the Start Menu, select “Programs” – “CONTEC API-PAC(W32)” – “AIOWDM” – “SAMPLE…”. (3) A sample program is invoked.
  • Page 78 Perform standard analog output using more than one device Digital input/output - DioBit Perform digital I/O using bit values - DioByte Perform digital I/O using port values AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 79: Using Utility Programs

    For the AioGetAiSamplingData and AioSetAoSamplingData functions, enter the size of data to be transferred. Set the data size in kilobytes. (3) Use the [End] button to quit the application. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 80 This program is a Visual Basic application. It cannot be run without the Visual Basic runtime library installed. It can be used after executing the following setup program in the API- AIO(WDM) install folder. AIOWDM\Utility\AiSpec\setup.exe AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 81 This error indicates that the conversion speed is too high relative to the speed of data input, causing memory overflow. (4) Clicking the [End measurement] button terminates measurement. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 82 This program is a Visual Basic application. It cannot be run without the Visual Basic runtime library installed. It can be used after executing the following setup program in the API-AIO-WDM) install folder. AIOWDM\Utility\AoSpec\setup.exe AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 83 When the external clock is used for conversion, the error indicates too fast a clock cycle or noise. (4) Clicking the [End measurement] button terminates measurement. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 84: When Using The Api-Aio(98/Pc)

    When using the API-AIO(98/PC) Accessing the Help File (1) Click on the [Start] button on the Windows taskbar. (2) From the Start Menu, select “Programs” – “CONTEC API-PAC(W32)” – “Aio” – “API-AIO HELP” to display help information. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E...
  • Page 85: Using Sample Programs

    The sample programs are stored in \Program Files\CONTEC\API-PAC(W32)\Aio\Samples. Running a Sample Program (1) Click on the [Start] button on the Windows taskbar. (2) From the Start Menu, select “Programs” – “CONTEC API-PAC(W32)” – “Aio” – “SAMPLE…”. (3) A sample program is invoked. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E...
  • Page 86 The following sample program in Visual Basic is available. - ANALOG Versatile sample program using AioInp, AioInpBack, AioInpBdMem, AioOut, AioOutBack, AioDO, and AioDI AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 87: Using The Utility Program

    This program is a comprehensive operation check tool that can use all the features of the AioOpen, AioClose, AioSetRangeAioInp, AioInpBack, AioInpBdMem, AioOut, AioOutBack, AioDo, and AioDi functions. Invoke the tool by selecting the Start Menu – “CONTEC API-PAC(W32)” – “AIO” – “SAMPLE Analog”. [Main screen]...
  • Page 88 5. About Software [Analog output] [Digital input and output] AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 89: Uninstalling The Driver Libraries

    (1) Click on the [Start] button on the Windows taskbar. From the Start Menu, select “Settings” – “Control Panel”. (2) Double-click on “Add/Remove Programs” in the Control Panel. (3) If the API-AIO(WDM), select “CONTEC API-AIO(WDM) driver” and “CONTEC API-AIO(WDM) VerX.XX (Development)” from the displayed application. If the API-AIO(98/PC), select “CONTEC API-AIO(98/PC)xx VerX.XX (Development) and “CONTEC API-AIO(98/PC)xx VerX.XX (Runtime)”...
  • Page 90: Cd-Rom Directory Structure

    |––Win95 | ––Readme Readme file for each driver | ––Release Driver file for each API-TOOL |––API_NT (For creation of a user-specific install program) |––API_W95 AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 91: About Hardware

    1 level use (Interrupts can be enabled or disabled.) PCI bus specification 32-bit, 33MHz, 5V Operating condition 0 to 50°C, 10 to 90%RH (No condensation) AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 92 At the time of the source use of a signal which built in the high-speed operational amplifier. If an external device requires this AD12-16(PCI)E board to supply +5VDC from the CN1 or CN2 connectors, the power consumption of this board will be bigger than what this specification has defined.
  • Page 93 231.78(L) [mm] The standard outside dimension (L) is the distance from the end of the board to the outer surface of the slot cover. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 94 176.41(L) [mm] The standard outside dimension (L) is the distance from the end of the board to the outer surface of the slot cover. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 95: Block Diagram

    16-bit counter 2 16-bit counter 1 converter 16-bit counter 0 DC/DC On board PCI Bus interface converter memory PCI Bus Figure 6.1. Block Diagram AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 96: Timing Of Sampling Control Signals

    Set up time of sampling stop (Rising edge) tSFP nsec Hold time of sampling stop (Rising edge) tHFP nsec CAUTION All of the times in Table 6.5 are typical values. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 97: About Calibration

    6. About Hardware About Calibration This board is calibrated before shipment. Contact your retailer if the board does not provide its prescribed performance. AD12-16(PCI)E, AD12-16U(PCI)E, AD16-16(PCI)E Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 98 3-9-31, Himesato, Nishiyodogawa-ku, Osaka 555-0025, Japan Japanese http://www.contec.co.jp/ English http://www.contec.com/ Chinese http://www.contec.com.cn/ No part of this document may be copied or reproduced in any form by any means without prior written consent of CONTEC CO., LTD. [03102004] [12261997] Management No. A-41-755 [11042004_rev3] Parts No. LZC2651...
  • Page 99 Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment SERVICE CENTER REPAIRS WE BUY USED EQUIPMENT • FAST SHIPPING AND DELIVERY Experienced engineers and technicians on staff Sell your excess, underutilized, and idle used equipment at our full-service, in-house repair center We also offer credit for buy-backs and trade-ins •...

This manual is also suitable for:

Ad16-16(pci)eAd12-16u(pci)e

Table of Contents