Do you have a question about the Wildstar-II Series and is the answer not in the manual?
Questions and answers
Subscribe to Our Youtube Channel
Summary of Contents for Annapolis Micro Systems Wildstar-II Series
Page 1
Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment SERVICE CENTER REPAIRS WE BUY USED EQUIPMENT • FAST SHIPPING AND DELIVERY Experienced engineers and technicians on staff Sell your excess, underutilized, and idle used equipment at our full-service, in-house repair center We also offer credit for buy-backs and trade-ins •...
Page 4
Licensed Product without obtaining required licenses or letter of further assurance. Annapolis Micro Systems, Inc. does not assume any liability arising out of the application or use of any product or circuit described herein. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
A separate CD containing VHDL models and examples is also included with your board. If you have questions or suggestions for improving the usefulness of the WILDSTAR™-II documentation, please send them to Annapolis Micro Systems, Inc. using the information provided in Chapter 5. Overview A brief description of each chapter appears below.
Page 11
• Chapter 7, “CoreFire™ Support,” provides a brief overview of the CoreFire™ Design Suite, an Annapolis Micro Systems application that allows you to quickly program and debug PEs on WILDSTAR™-II motherboards in a minimal number of steps. • Chapter 8, “VHDL Models Reference,” provides details of VHDL interfaces and models.
Warnings are directions that must be followed to ensure personal safety. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 1-3 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Double Data Rate DRAM. A type of DRAM in which bursts of data are sent on both rising and falling edges of clock cycles. Page 1-4 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 14
Fixed, single-ended bus clock used for Local Address Data Bus transactions. LAD Bus WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 1-5 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 15
Processing Element 2 P0 VME Backplane Connects the WILDSTAR™-II /VME motherboard to the backplane Page 1-6 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 16
Manufacturer of Synplify ASIC®, an application used for synthesizing ASIC designs. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 1-7 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 17
Hardware models used for board-level VHDL simulation of application. Xilinx® Manufacturer of Virtex™-II FPGAs and place-and-route tools for FPGA designs. Page 1-8 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Separate PE configuration modules for the WILDSTAR™-II and the WILDSTAR™-II PRO are described below. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 2-1 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Annapolis Micro Systems representative. No bitfile compatibility exists between modules on different platform types. For an application to run on a platform different from the one on which it was originally compiled, it must be recompiled on the new platform.
WILDSTAR™-II motherboards and I/O card compatibility can be found in the manual accompanying each I/O daughter card. For more information about I/O card options, contact Annapolis Micro Systems at (410) 841-2514 or by e-mail at wftech@annapmicro.com. 2.2.1 About the WILDSTAR™-II /VME Board The WILDSTAR™-II /VME architecture has a number of processing...
Page 23
Euro I/O cards use a 228-pin plug that fits both WILDSTAR™ and WILDSTAR™- II series boards. Euro II cards fit on WILDSTAR™-II boards. Page 2-6 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 24
• Host software can monitor board current consumption and power supply voltage levels WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 2-7 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Figure 2-4, these PE modules use large buses to provide data throughput to I/O cards 0 and 1 respectively. Page 2-8 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 26
DifferentialPairs 320 MB / S Copyright 2003- 2005 Single Ended Annapolis Micro Systems, Inc. VME BUS Figure 2-4: WILDSTAR™-II PRO/VME Block Diagram (Revs. A, B) Table 2-2: Data Pin Usage for CoreFire™ and VHDL I/O Daughter Card Type CoreFire™ VHDL...
PCI controller has access to the PE and up to two Euro I/O cards using independent Local Address Data (LAD) buses. Page 2-10 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 28
REFCLK 1 320MB/S Copyright 2003 - 2005 VME BUS Annapolis Micro Systems , Inc . Figure 2-5: WILDSTAR™-II PRO ACE for VME Block Diagram Table 2-3: Data Pin Usage for CoreFire™ and VHDL I/O Daughter Card Type CoreFire™ VHDL Type I...
WILDSTAR™-II/PCI WILDSTAR™-II PRO/PCI WILDSTAR™-II/VME WILDSTAR™-II PRO/VME WILDSTAR™-II PRO ACE for VME Requires modifications to support Type III cards. Contact Annapolis Micro Systems for details. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 2-19 Annapolis Micro Systems, Inc.
Inspect the board thoroughly for damage that may have occurred during shipping. If there is any apparent damage to the board or any items missing from the shipment, contact Annapolis Micro Systems, Inc. using the information provided in Chapter 5 of this manual.
LED definitions are also described for each board type. Page 3-2 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 41
3-3) initially shows the chassis slot number into which the board has been inserted, prefixed by an “S.” Page 3-4 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Instructions for installing host software on WILDSTAR™-II boards can be found in the release notes accompanying the board. Page 4-4 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Jumper settings for the WILDSTAR™-II PRO / VME board are listed below. (See separate section for PRO ACE for VME settings.) WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 4-7 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Figure 4-5: Jumpers on WILDSTAR™-II PRO ACE for VME (Solder Side of Board) Page 4-10 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 74
PEs, and I/O cards are all reset. User loses access to board and must re-open it. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 4-11 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Gently press the red ejector release buttons, press down on the front panel ejectors, and slowly pull the board from the chassis. Page 4-12 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
The VME slot ID will be redisplayed on the front panel display. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 4-19 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
The suggestions listed below will help us respond to your questions more quickly. Board Identification Numbers Each Annapolis Micro Systems board is prominently labeled with three unique codes: the Product Configuration Code (PCC), the Serial Number (SN), and the Revision Level Code (RLC). You can also find these codes by installing the board and running wsinst.exe from the host software CD.
Local Bus Clocks (1 each direction) PCI Bus Clk 1 Tx, 1Rx (1 each direction) 1 Tx, 1Rx (1 each direction) Copyright Annapolis Micro Systems, Inc. 2002-2004 Figure 6-9: WILDSTAR™-II /VME Clock Distribution (Revs. A, B) Page 6-12 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual...
Page 98
PCI Bus Clk 1 Tx, 1Rx (1 each direction) 1 Tx, 1Rx (1 each direction) Copyright Annapolis Micro Systems, Inc. 2002, 2004 Figure 6-10: WILDSTAR™-II /VME Clock Distribution (Rev. C) WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 6-13 Annapolis Micro Systems, Inc.
P clocks can be set to an integral multiple of 3 MHz. The global ICLK cannot be modified. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 6-15 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 101
*For boards made prior to Rev. D, an Engineering Change Request (ECR) is required for UCLK to be functional. Page 6-16 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 102
Local to PE Better than 1 LCLK C Single-Ended Clock C WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 6-17 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 105
Figure 6-13: P0/P2 Backplane, Standard Configuration As needs require, you can select customized P0/P2 Backplane options (see below), including the option of disconnecting P0/P2 from PE2 entirely. By special request, these options are implemented by Annapolis Micro Systems during manufacture of the board. Page 6-20 Doc.
Page 106
To prevent pin damage, be sure to plug the signal cables into the correct pins. If you have questions about which pins are involved, please contact Annapolis Micro Systems Technical Support using the information provided in Chapter 5. WILDSTAR ™ -II Hardware Reference Manual Doc No.
Page 110
Pin mapping information for P0 and P2 is included in Appendix B. INFORMATION NOTE Custom signaling and termination options are also available. Contact Annapolis Micro Systems, Inc. for details. WARNING Check to see if your WILDSTAR™-II PRO/VME board has the P2...
Page 111
Rocket I/O serial lines. Doing so could damage the WILDSTAR™-II PRO /VME or PRO ACE for VME. If you have specific questions about this warning, please contact Annapolis Micro Systems, Inc. using the information provided in Chapter 5. 6.7.2.1 Dual RACEway The P2 Connector on WILDSTAR™-II PRO /VME and PRO ACE for VME can...
Page 113
PRO ACE for VME supplies additional power and support for 2.5V LVDS or 2.5V Page 6-28 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 114
P2 signals. Doing so could destroy the WILDSTAR™- II PRO/VME and other boards connected to P2. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 6-29 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 115
Do not expose 3.3V single-ended connections to any signaling higher than 3.3V. Higher voltages can damage the board. Page 6-30 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 116
Figure 6-22: WILDSTAR™-II PRO /VME I/O Backplane Connection with 3.3V Differential Signaling and P2 Power WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 6-31 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Code for this level will eventually become the bitstream that programs the PE on the board. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-1 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
LAD bus pads. The Pads port of each interface component will be assigned one of these Pads records. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-3 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
VHDL design. The component declaration is shown below: Page 7-4 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 124
These signals should be used as the clock sources of the design. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-5 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 125
M_Clk, M_ClkFb, P_Clk DCMs. The record definitions below show the available signals: Page 7-6 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 126
The Xilinx® documentation describes this DCM attribute in more detail. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-7 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
The component declarations for the LAD_Bus_Std_IF and port record types are shown below: Page 7-8 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 128
This signal goes low when all DMA-From-PE data has been transmitted to the host. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-9 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 129
80ns Us er_In.PEClk Us er_In.Addr Us er_In.Data_In Us er_In.Reg_Strobe Us er_In.W rite Page 7-10 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 130
Us er_Out.Strobe_O ut Figure 7-3: Longer Register Space LAD Bus Read Cycle WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-11 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 131
Upon completing the initialization cycle, the PE should deassert User_Out.BusReq. The PCI controller then begins fetching the requested data Page 7-12 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 132
PE cycles. Figure 7-6 shows a typical DMA-To-PE data cycle. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-13 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 133
User_Out.Data_Out is DMA’ed back to the host. This DMA transaction does not Page 7-14 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
A description of the SRAM VHDL Example is included in Appendix C of this manual. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-15 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 135
P_CLK/M_ClkFb clock boundary inside the PE, but the supplied “sram_DDR2_Core” uses these signals instead. Page 7-16 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
DDR SDRAM, see the datasheets at www.micron.com. The DRAM basic I/O is defined as: WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-21 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 141
The port signals of the DRAM basic I/O are summarized below. Page 7-22 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
WILDSTAR™-II /VME PE Bus Connections Bus1 Bus0 Bus1 Bus0 WILDSTAR™-II /PCI PE Bus Connections Page 7-26 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 146
PE_Bus_Std_IF_Out_Type is record TxReset_Out : std_logic; Data_Out : PEData_Type; end record; WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-27 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 147
User interface to the Group B output pins. User_Out.Data_Out.BusC Input User interface to the Group C output pins. Page 7-28 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Below are diagrams showing PE bus connections on the WILDSTAR™-II /VME and /PCI boards. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-29 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 149
PE_Bus_Basic_IO_Clock_Out_Type is record Dll_Reset_Out : std_logic; Dll_Locked_Out : std_logic; TxClk : std_logic; end record; Page 7-30 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 150
PE designs should use the User_In and User_Out ports to communicate with the P0 backplane. These records are defined below. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-31 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 151
Set the bit to 1 for an output or a 0 for input. Page 7-32 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
The board level simulation environment comes completely written, and the user need only set configuration options. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-35 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Additionally, all Pad output signals are registered in the IOB on their appropriate clock edge. Page 7-36 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
LAD bus of the WILDSTAR™-II PRO device, respectively. The “pads” signals of the WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-39 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 159
DMA data to the PE. The DMA-From-PE data transfer Page 7-40 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 160
Us er_In.Reg_Strobe Us er_In.W rite Us er_Out.D ata_Out Us er_Out.Strobe_O ut WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-41 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 161
Trans fer Count Us er_Out.D MA_Init Us er_Out.Bus Req Figure 7-11: DMA-To-PE Initialization Cycle Page 7-42 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 162
PE as the host has allocated with the WSII_DmaBind API call. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-43 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 163
Figure 8-10 shows a typical DMA-From-PE data cycle. Page 7-44 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
The WSII_GetInformation API call is used to determine memory type, burst length and population. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-47 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 167
The port signals of the SRAM interface are summarized below. Page 7-48 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 168
API. In conjunction with the API, it is used to prevent any IO card mismatches. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-49 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
The dram_interface provides the user interface for DRAM. The DRAM interface is defined as: Page 7-50 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 170
FIFOs are not full. If ‘write_rdy’ is high, the interface WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-51 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
The led_interface_out_type for WILDSTAR™-II PRO ACE for VME is defined Page 7-52 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Below are diagrams showing PE bus connections on the WILDSTAR™-II PRO boards (not available on ACE boards). WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-53 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 173
: std_logic_vector (49 downto 0); rx_clock : std_logic; end record; The peconn_interface_out_type is as follows: Page 7-54 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
MHz (not available on ACE boards). The component declaration for the rio_port x _interface is: WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-55 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
WSII_DmaBind Binds a DMA buffer. WSII_DmaUnBind Unbinds a DMA buffer. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-59 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 179
This function causes the PCI Controller to set the specified clock to the frequency specified by dFreq . Page 7-60 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 180
WSII_RESET_DEASSERT,WSII_RESET_PULSE to pulse the reset line for one I Clock cycle. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-61 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 181
Returns the status of the interrupts using the format in the pIntMask description above. Page 7-62 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 183
Set to the current interrupt status value when this procedure returns. dTimeoutMs This parameter is not used in simulation. Page 7-64 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 184
This function causes the PCI Controller to initiate one or more LAD bus read transactions to the PE device. See Also: WSII_WriteRegs_32 WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-65 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 185
This function causes the PCI Controller to initiate one or more LAD bus read transactions to the PE device. See Also: WSII_ReadRegs_32 Page 7-66 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 186
DMA API calls. See Also: WSII_DmaMemFree, WSII_DmaBind, WSII_DmaUnbind WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-67 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 187
If the buffer to be freed is also bound, it must be unbound (using WSII_DmaUnbind) before calling WSII_DmaMemFree. See Also: WSII_DmaMemAlloc, WSII_DmaBind, WSII_DmaUnbind Page 7-68 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 188
LAD bus cycles to DMA to this host DMA buffer. See Also: WSII_DmaMemFree, WSII_DmaBind, WSII_DmaUnbind WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-69 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 189
The handle to the DMA buffer to be unbound. See Also: WSII_DmaMemFree, WSII_DmaBind, WSII_DmaUnbind Page 7-70 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
• WSII_PCI_Config: Several generics can be changed to configure the types of memories used for each of the PEs. Page 7-72 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Xilinx® Foundation Series tools include all of the necessary Alliance Series tools. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 8-5 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 197
UCF filename matches the base part of the EDIF filename (e.g., pe0_design.ucf matches pe0_design.edf). Page 8-6 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
If the desired timing was not met, you can choose to modify the timing constraints or to modify the Xilinx® Alliance Series makefile options. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 8-7 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
It also passes error information and Done status back to the host. Page D-2 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
SRAM read data at the ideal time. This block is controlled from the host. WILDSTAR ™-II Reference Manual Doc. No. 12921-0000 Rev. 6.0 Page D-5 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
The results are displayed on the screen. Figure E-1: Block Diagram for WILDSTAR™-II /VME-Based Example WILDSTAR ™ II Hardware Reference Manual Doc. No. 12921-0000 Rev. 6.0 Page E-1 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
DRAM data = 0x4. Writing word #5 DRAM data = 0x5. WILDSTAR ™ -II Hardware Reference Manual Doc. No. 12921-0000 Rev. 6.0 Page E-3 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 245
Success ... there were no errors. WSII VME SDRAM Interface Example complete Page E-4 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 246
PEs on WILDSTAR ™ II Hardware Reference Manual Doc. No. 12921-0000 Rev. 6.0 Page F-1 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
Page 247
Jumpers WILDSTAR™ motherboards and I/O cards manufactured by Annapolis Micro Systems. You can also build customized cores, called Core Macros, using cores from the libraries. Design Viewer Diagram Editor Cores Message Window Tabs for Core Libraries Figure F-2: CoreFire™ Application Builder—Main Interface For more information about the CoreFire™...
Page 248
Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment SERVICE CENTER REPAIRS WE BUY USED EQUIPMENT • FAST SHIPPING AND DELIVERY Experienced engineers and technicians on staff Sell your excess, underutilized, and idle used equipment at our full-service, in-house repair center We also offer credit for buy-backs and trade-ins •...
Need help?
Do you have a question about the Wildstar-II Series and is the answer not in the manual?
Questions and answers