Annapolis Micro Systems Wildstar-II Series Hardware Reference Manual

Pci and vme motherboards
Table of Contents

Advertisement

Quick Links

Annapolis Micro Systems Wildstar-II Series Hardware Reference Manual

Advertisement

Table of Contents
loading
Need help?

Need help?

Do you have a question about the Wildstar-II Series and is the answer not in the manual?

Questions and answers

Subscribe to Our Youtube Channel

Summary of Contents for Annapolis Micro Systems Wildstar-II Series

  • Page 1 Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment SERVICE CENTER REPAIRS WE BUY USED EQUIPMENT • FAST SHIPPING AND DELIVERY Experienced engineers and technicians on staff Sell your excess, underutilized, and idle used equipment at our full-service, in-house repair center We also offer credit for buy-backs and trade-ins •...
  • Page 2 PCI and VME Motherboards 12921 - 0000 Revision 6.0 © Copyright 1998-2005 by Annapolis Micro Systems, Inc. All Rights Reserved. Printed and published in the United States of America. WILDFIRE™, WILDFIRE™-XL, WILDCHILD™, WILDFORCE™, WILDFORCE-XL™, WILD-ONE™, WILD-ONE™-XL, WILDTIME™, WILDCARD™, WILDSTAR™, WILDSTAR™-II, WILDSTAR™-II PRO, WILDSTAR™-E, WSDP™, WILDWARE™, C2WILD™, CoreFire™, WILD™...
  • Page 3 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 4 Licensed Product without obtaining required licenses or letter of further assurance. Annapolis Micro Systems, Inc. does not assume any liability arising out of the application or use of any product or circuit described herein. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 5 Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 6: Table Of Contents

    HARDWARE INSTALLATION FOR WILDSTAR™-II PRO ACE FOR VME ..4-12 WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page i Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 7 DRAM BASIC I/O (DRAMPORT_BASIC_IO).............7-21 7.2.7 LED STANDARD INTERFACE (LED_STD_IF)..........7-23 7.2.8 I/O CONNECTOR BASIC INTERFACE .............7-24 Page ii Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 8 SRAM EXAMPLE FOR WILDSTAR™-II /PCI AND /VME ........D1 MAIN FUNCTIONAL BLOCKS...................D2 SOFTWARE DESCRIPTION .....................D3 WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page iii Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 9 COMMAND LINE PARAMETERS ..................E3 PROGRAM SAMPLE OUTPUT..................E3 APPENDIX F: COREFIRE™ SUPPORT ............F1 Page iv Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 10: About This Manual

    A separate CD containing VHDL models and examples is also included with your board. If you have questions or suggestions for improving the usefulness of the WILDSTAR™-II documentation, please send them to Annapolis Micro Systems, Inc. using the information provided in Chapter 5. Overview A brief description of each chapter appears below.
  • Page 11 • Chapter 7, “CoreFire™ Support,” provides a brief overview of the CoreFire™ Design Suite, an Annapolis Micro Systems application that allows you to quickly program and debug PEs on WILDSTAR™-II motherboards in a minimal number of steps. • Chapter 8, “VHDL Models Reference,” provides details of VHDL interfaces and models.
  • Page 12: Conventions

    Warnings are directions that must be followed to ensure personal safety. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 1-3 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 13: Key Words And Definitions

    Double Data Rate DRAM. A type of DRAM in which bursts of data are sent on both rising and falling edges of clock cycles. Page 1-4 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 14 Fixed, single-ended bus clock used for Local Address Data Bus transactions. LAD Bus WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 1-5 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 15 Processing Element 2 P0 VME Backplane Connects the WILDSTAR™-II /VME motherboard to the backplane Page 1-6 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 16 Manufacturer of Synplify ASIC®, an application used for synthesizing ASIC designs. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 1-7 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 17 Hardware models used for board-level VHDL simulation of application. Xilinx® Manufacturer of Virtex™-II FPGAs and place-and-route tools for FPGA designs. Page 1-8 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 18: Introduction To Wildstar™-Ii

    Separate PE configuration modules for the WILDSTAR™-II and the WILDSTAR™-II PRO are described below. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 2-1 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 19: Wildstar™-Ii Pe Modules

    CoreFire™ uses a small number of bits for overhead requirements Figure 2-1: WILDSTAR™-II Processing Module Page 2-2 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 20: Wildstar™-Ii Pro Pe Modules

    CoreFire™ uses a small number of bits for overhead requirements Figure 2-2: WILDSTAR™-II PRO Processing Module WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 2-3 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 21: Pe Module Interoperability

    Annapolis Micro Systems representative. No bitfile compatibility exists between modules on different platform types. For an application to run on a platform different from the one on which it was originally compiled, it must be recompiled on the new platform.
  • Page 22: Wildstar™-Ii Board Types

    WILDSTAR™-II motherboards and I/O card compatibility can be found in the manual accompanying each I/O daughter card. For more information about I/O card options, contact Annapolis Micro Systems at (410) 841-2514 or by e-mail at wftech@annapmicro.com. 2.2.1 About the WILDSTAR™-II /VME Board The WILDSTAR™-II /VME architecture has a number of processing...
  • Page 23 Euro I/O cards use a 228-pin plug that fits both WILDSTAR™ and WILDSTAR™- II series boards. Euro II cards fit on WILDSTAR™-II boards. Page 2-6 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 24 • Host software can monitor board current consumption and power supply voltage levels WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 2-7 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 25: About The Wildstar™-Ii Pro /Vme Board

    Figure 2-4, these PE modules use large buses to provide data throughput to I/O cards 0 and 1 respectively. Page 2-8 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 26 DifferentialPairs 320 MB / S Copyright 2003- 2005 Single Ended Annapolis Micro Systems, Inc. VME BUS Figure 2-4: WILDSTAR™-II PRO/VME Block Diagram (Revs. A, B) Table 2-2: Data Pin Usage for CoreFire™ and VHDL I/O Daughter Card Type CoreFire™ VHDL...
  • Page 27: About The Wildstar™-Ii Pro Ace For Vme Board

    PCI controller has access to the PE and up to two Euro I/O cards using independent Local Address Data (LAD) buses. Page 2-10 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 28 REFCLK 1 320MB/S Copyright 2003 - 2005 VME BUS Annapolis Micro Systems , Inc . Figure 2-5: WILDSTAR™-II PRO ACE for VME Block Diagram Table 2-3: Data Pin Usage for CoreFire™ and VHDL I/O Daughter Card Type CoreFire™ VHDL Type I...
  • Page 29: About The Wildstar™-Ii /Pci Board

    166-pin differential data buses, providing double the data throughput as a single bus. Page 2-12 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 30 Single Ended LADCLK Generator 32/64 Bits 33/66/133 MHz Copyright 20 02 - 2005 Annapolis Micro Systems, Inc. PCI Bus Interface Figure 2-6: WILDSTAR™-II /PCI Block Diagram (All Revs.) WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 2-13 Annapolis Micro Systems, Inc.
  • Page 31 • Host software: Windows NT 4.0® and 2000®, Linux, Solaris™. Includes API and device drivers • Supports two I/O cards Page 2-14 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 32 LAD Access only. Two PEs in locations PE0 and PE1 Fully functional WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 2-15 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 33: About The Wildstar™-Ii Pro/Pci Board

    DifferentialPairs Switches Single Ended 32/64 Bits 33/66/133 MHz Copyright 2003 -2005 Annapolis Micro Systems , Inc. PCI BUS Figure 2-7: WILDSTAR™-II PRO/PCI (Revs. B, C, D) Page 2-16 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc.
  • Page 34 • DDR DRAM 800 MBytes/sec per PE for total of 1.6 GBytes/sec per board WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 2-17 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 35 LAD Access only. Two PEs in locations PE0 and PE1 Fully functional Page 2-18 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 36: Wildstar™ Main Board And I/O Card Compatibilities

    WILDSTAR™-II/PCI WILDSTAR™-II PRO/PCI WILDSTAR™-II/VME WILDSTAR™-II PRO/VME WILDSTAR™-II PRO ACE for VME Requires modifications to support Type III cards. Contact Annapolis Micro Systems for details. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 2-19 Annapolis Micro Systems, Inc.
  • Page 37 Page 2-20 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 38: Getting Started

    Inspect the board thoroughly for damage that may have occurred during shipping. If there is any apparent damage to the board or any items missing from the shipment, contact Annapolis Micro Systems, Inc. using the information provided in Chapter 5 of this manual.
  • Page 39: Board Illustrations And Led Definitions

    LED definitions are also described for each board type. Page 3-2 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 40: Wildstar™-Ii / Vme Board Views

    External I/O 1 Connector Figure 3-1: WILDSTAR™-II/VME Board, all Revs. (Component Side) WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 3-3 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 41 3-3) initially shows the chassis slot number into which the board has been inserted, prefixed by an “S.” Page 3-4 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 42 Figure 3-3: WILDSTAR™-II /VME LED Front Panel (without I/O Card Slots) WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 3-5 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 43 Figure 3-4: WILDSTAR™-II /VME Board LED Locations (all Revs.), Left Solder Side Page 3-6 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 44 Red if error in PE2 programming, ~PE2 INIT OFF if no error WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 3-7 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 45 Yellow (part of Processing Module) User Definable Yellow (part of Processing Module) Page 3-8 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 46: Wildstar™-Ii Pro/Vme Board Views

    External I/O 1 Connector Figure 3-6: WILDSTAR™-II PRO/VME Rev. B (Component Side) WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 3-9 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 47 Figure 3-7: WILDSTAR™-II PRO/VME Rev. B (Solder Side) Page 3-10 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 48 Figure 3-9: LEDs on WILDSTAR™-II PRO/VME (Solder Side, Detail 2) WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 3-11 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 49 PE0Y Yellow User Definable PE1Y Yellow User Definable PE2Y Yellow User Definable Page 3-12 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 50: Wildstar™-Ii Pro Ace For Vme (Rev. B) Board Views

    Figure 3-11: WILDSTAR™-II PRO ACE for VME Board, Rev. B (Component Side) WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 3-13 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 51 Figure 3-13: LEDs on WILDSTAR™-II PRO ACE for VME Rev. B (Solder Side, Detail) Page 3-14 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 52 Reserved 100 MHz PCI-X Reserved 133 MHz PCI-X 66MHz PCI WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 3-15 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 53 Page 3-16 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 54: Wildstar™-Ii /Pci Board Views

    Figure 3-16: WILDSTAR™-II /PCI Board, Rev. A (Solder Side, Shown without Heat Sinks or Fans) WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 3-17 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 55 Figure 3-18: WILDSTAR™-II /PCI Board, Rev. B (Solder Side, Shown without Heat Sinks or Fans) Page 3-18 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 56 Figure 3-20: WILDSTAR™-II /PCI Board, Revs. C, D (Solder Side, Shown without Heat Sinks or Fans) WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 3-19 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 57 Figure 3-22: WILDSTAR™-II /PCI Board LEDs (all Revs), Top Right Solder Side Page 3-20 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 58 PE1 User Yellow User Definable PE1 User Green User Definable WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 3-21 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 59: Wildstar™-Ii Pro/Pci Board Views

    Figure 3-24: WILDSTAR™-II PRO/PCI Board, Rev. B, C, D (Component Side, Shown with Heat Sinks and Fans) Page 3-22 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 60 (Green) Figure 3-27: PRO /PCI PE1 Status LEDs, Component Side WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 3-23 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 61 (Yellow) (Green) Figure 3-29: WILDSTAR™-II PRO /PCI Board LEDs, Top Edge View Page 3-24 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 62 Reserved 100 MHz PCI-X Reserved 133 MHz PCI-X 66MHz PCI WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 3-25 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 63 Page 3-26 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 64: Wildstar™-Ii /Vme Installation

    32 rows of pins stacked five across (160 pins total). WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 4-1 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 65: Wildstar™-Ii /Vme Switch Settings

    Figure 4-1: Location of WILDSTAR™-II /VME Switches, in Default Positions (Solder Side of Board) Page 4-2 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 66 I/O cards are all reset. User loses access to board and must re-open it. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 4-3 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 67: Hardware Installation For Wildstar™-Ii /Vme

    Instructions for installing host software on WILDSTAR™-II boards can be found in the release notes accompanying the board. Page 4-4 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 68: Wildstar™-Ii Pro /Vme Installation

    WILDSTAR™-II PRO/VME and other boards connected to P2. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 4-5 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 69: Component Side

    Figure 4-2: Label Indicating WILDSTAR™-II PRO/VME with P2 Power Option (Component Side) Page 4-6 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 70: Wildstar™-Ii Pro / Vme Jumper Settings

    Jumper settings for the WILDSTAR™-II PRO / VME board are listed below. (See separate section for PRO ACE for VME settings.) WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 4-7 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 71: Hardware Installation For Wildstar™-Ii Pro/ Vme

    Follow the steps below to install the WILDSTAR™-II PRO/ VME board into the host system. 1. Ground yourself of static electricity with a groundstrap. Page 4-8 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 72: Power Up System

    WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 4-9 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 73: Wildstar™-Ii Pro Ace For Vme Board Installation

    Figure 4-5: Jumpers on WILDSTAR™-II PRO ACE for VME (Solder Side of Board) Page 4-10 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 74 PEs, and I/O cards are all reset. User loses access to board and must re-open it. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 4-11 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 75: Hardware Installation For Wildstar™-Ii Pro Ace For Vme

    Gently press the red ejector release buttons, press down on the front panel ejectors, and slowly pull the board from the chassis. Page 4-12 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 76: Wildstar™-Ii /Pci Board Installation

    4. For the available slot, remove the rear access panel (Figure 4-7). Figure 4-7: Rear Access Panel WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 4-13 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 77: Power Up System

    WILDSTAR™-II host software and VHDL models can be installed. Page 4-14 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 78: Wildstar™-Ii /Pci Switch Settings

    Figure 4-8: Location of WILDSTAR™-II /PCI Switch 1 (SW1), in Default Positions (Solder Side of Board) WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 4-15 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 79 Figure 4-9: Location of WILDSTAR™-II /PCI Rev. C, D Switches (SW1, SW2), in Default Positions (Solder Side of Board) Page 4-16 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 80: Wildstar™-Ii Pro/Pci Switch Settings

    33MHz max. PCI Bus Speed that support 66MHz. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 4-17 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 81: Wildstar™-Ii Reset Options

    VME slot ID being redisplayed on the front panel display. Page 4-18 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 82: System Resets

    The VME slot ID will be redisplayed on the front panel display. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 4-19 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 83 Page 4-20 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 84: Technical Support

    The suggestions listed below will help us respond to your questions more quickly. Board Identification Numbers Each Annapolis Micro Systems board is prominently labeled with three unique codes: the Product Configuration Code (PCC), the Serial Number (SN), and the Revision Level Code (RLC). You can also find these codes by installing the board and running wsinst.exe from the host software CD.
  • Page 85 Page 5-2 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 86: Wildstar™-Ii Board Specifications

    (sensing diodes are on non-PRO- series boards only). WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 6-1 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 87 Page 6-2 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 88 Figure 6-1 shows temperature monitoring points on the WILDSTAR™-II /VME board: WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 6-3 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 89 Figure 6-1: WILDSTAR™-II / VME Temperature Monitoring Points Page 6-4 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 90 Figure 6-3: WILDSTAR™-II PRO VME for ACE Temperature Monitoring Points WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 6-5 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 91 = VIRTEX™-II Pro Internal Diodes Figure 6-5: WILDSTAR™-II PRO/PCI Temperature Monitoring Points Page 6-6 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 92: Wildstar™-Ii Power Functions

    (Refer to the WILDSTAR™-II Software Reference for API calls providing access to this information.) WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 6-7 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 93 ** For PE0 Only Figure 6-6: WILDSTAR™-II / VME and /PCI Power Monitoring Page 6-8 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 94: Wildstar™-Ii /Vme 3.3V Power Supply Options (Rev. C Only)

    Figure 6-7. Similar information for PRO/PCI is located in Figure 6-8. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 6-9 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 95 1.5A -12V 375mA Figure 6-8: Power Measurement and Monitoring for WILDSTAR™-II PRO/PCI Page 6-10 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 96: Wildstar™-Ii Board Clocks

    0.2V for Input Voltage Low Threshold (VILC). For other clock frequencies and destinations, please see Section 6.5.2. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 6-11 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 97: Clock Distribution Diagrams

    Local Bus Clocks (1 each direction) PCI Bus Clk 1 Tx, 1Rx (1 each direction) 1 Tx, 1Rx (1 each direction) Copyright Annapolis Micro Systems, Inc. 2002-2004 Figure 6-9: WILDSTAR™-II /VME Clock Distribution (Revs. A, B) Page 6-12 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual...
  • Page 98 PCI Bus Clk 1 Tx, 1Rx (1 each direction) 1 Tx, 1Rx (1 each direction) Copyright Annapolis Micro Systems, Inc. 2002, 2004 Figure 6-10: WILDSTAR™-II /VME Clock Distribution (Rev. C) WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 6-13 Annapolis Micro Systems, Inc.
  • Page 99 PCI CLOCK Figure 6-11: WILDSTAR™-II /PCI Clock Distribution (Revs. A, B, C,) Page 6-14 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 100: Clock Descriptions And Frequencies

    P clocks can be set to an integral multiple of 3 MHz. The global ICLK cannot be modified. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 6-15 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 101 *For boards made prior to Rev. D, an Engineering Change Request (ECR) is required for UCLK to be functional. Page 6-16 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 102 Local to PE Better than 1 LCLK C Single-Ended Clock C WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 6-17 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 103: Wildstar-Ii Pro Clocks

    P0 Backplane connector into a chassis that does not accept P0. Page 6-18 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 104 WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 6-19 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 105 Figure 6-13: P0/P2 Backplane, Standard Configuration As needs require, you can select customized P0/P2 Backplane options (see below), including the option of disconnecting P0/P2 from PE2 entirely. By special request, these options are implemented by Annapolis Micro Systems during manufacture of the board. Page 6-20 Doc.
  • Page 106 To prevent pin damage, be sure to plug the signal cables into the correct pins. If you have questions about which pins are involved, please contact Annapolis Micro Systems Technical Support using the information provided in Chapter 5. WILDSTAR ™ -II Hardware Reference Manual Doc No.
  • Page 107 PE 2 DUAL RACE++ Figure 6-15: P0 Series Terminated, P2 RACE™ Optional Configuration Page 6-22 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 108 DATA Figure 6-16: P0 Differential, P2 Series Terminated Optional Configuration WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 6-23 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 109 LVDS+ 100 Ohm LVDS- Figure 6-17: P0 Differential, P2 Differential Optional Configuration Page 6-24 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 110 Pin mapping information for P0 and P2 is included in Appendix B. INFORMATION NOTE Custom signaling and termination options are also available. Contact Annapolis Micro Systems, Inc. for details. WARNING Check to see if your WILDSTAR™-II PRO/VME board has the P2...
  • Page 111 Rocket I/O serial lines. Doing so could damage the WILDSTAR™-II PRO /VME or PRO ACE for VME. If you have specific questions about this warning, please contact Annapolis Micro Systems, Inc. using the information provided in Chapter 5. 6.7.2.1 Dual RACEway The P2 Connector on WILDSTAR™-II PRO /VME and PRO ACE for VME can...
  • Page 112 Figure 6-19: WILDSTAR™-II PRO /VME I/O Backplane Connection with 2.5V Differential Signaling WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 6-27 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 113 PRO ACE for VME supplies additional power and support for 2.5V LVDS or 2.5V Page 6-28 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 114 P2 signals. Doing so could destroy the WILDSTAR™- II PRO/VME and other boards connected to P2. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 6-29 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 115 Do not expose 3.3V single-ended connections to any signaling higher than 3.3V. Higher voltages can damage the board. Page 6-30 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 116 Figure 6-22: WILDSTAR™-II PRO /VME I/O Backplane Connection with 3.3V Differential Signaling and P2 Power WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 6-31 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 117: Wildstar™-Ii Pro Rocket I/O Interfaces

    REFCLK1 Oscillator Transmitter) 100MHz BREFCLKB Figure 6-23: Rocket I/O Connections for WILDSTAR™-II PRO/VME Page 6-32 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 118: Rocket I/O Clocks

    PE SPEED GRADE REFCLK Frequency 50-100MHz (Programmable) -6, -7 50-156MHz (Programmable) WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 6-33 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 119 PRO ACE for VME PE SPEED GRADE REFCLK Frequency -5, -6, -7 100MHz Page 6-34 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 120: Vhdl Models Reference And Guide

    Code for this level will eventually become the bitstream that programs the PE on the board. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-1 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 121 I/O ports. Page 7-2 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 122: Vhdl Interface Components

    LAD bus pads. The Pads port of each interface component will be assigned one of these Pads records. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-3 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 123: Clock Standard Interface (Clock_Std_If)

    VHDL design. The component declaration is shown below: Page 7-4 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 124 These signals should be used as the clock sources of the design. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-5 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 125 M_Clk, M_ClkFb, P_Clk DCMs. The record definitions below show the available signals: Page 7-6 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 126 The Xilinx® documentation describes this DCM attribute in more detail. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-7 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 127: Lad Bus Standard Interface (Lad_Bus_Std_If)

    The component declarations for the LAD_Bus_Std_IF and port record types are shown below: Page 7-8 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 128 This signal goes low when all DMA-From-PE data has been transmitted to the host. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-9 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 129 80ns Us er_In.PEClk Us er_In.Addr Us er_In.Data_In Us er_In.Reg_Strobe Us er_In.W rite Page 7-10 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 130 Us er_Out.Strobe_O ut Figure 7-3: Longer Register Space LAD Bus Read Cycle WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-11 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 131 Upon completing the initialization cycle, the PE should deassert User_Out.BusReq. The PCI controller then begins fetching the requested data Page 7-12 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 132 PE cycles. Figure 7-6 shows a typical DMA-To-PE data cycle. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-13 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 133 User_Out.Data_Out is DMA’ed back to the host. This DMA transaction does not Page 7-14 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 134: Sram Basic I/O (Sramport_Basic_Io)

    A description of the SRAM VHDL Example is included in Appendix C of this manual. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-15 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 135 P_CLK/M_ClkFb clock boundary inside the PE, but the supplied “sram_DDR2_Core” uses these signals instead. Page 7-16 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 136: Sram Ddr2 Core (Sram_Ddr2_Core)

    • Samsung® 18 Mb DDRII CIO 2 word burst (K7I163682B-FC20) WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-17 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 137 +/- 5ns. The SRAM_DDR2_Core_In_Type and SRAM_DDR2_Core_Out_Type are defined as: Page 7-18 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 138 • For the NEC® memories, a second NOOP must be added above 60 MHz. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-19 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 139: Dram Standard Interface (Dram_Std_If)

    : std_logic; strobe : std_logic; end record; The DramPort_Std_IF_In_Type is defined as: Page 7-20 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 140: Dram Basic I/O (Dramport_Basic_Io)

    DDR SDRAM, see the datasheets at www.micron.com. The DRAM basic I/O is defined as: WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-21 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 141 The port signals of the DRAM basic I/O are summarized below. Page 7-22 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 142: Led Standard Interface (Led_Std_If)

    Global_Reset : in std_logic; User_Out : in LED_Std_IF_Out_Type end component; WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-23 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 143: I/O Connector Basic Interface

    MClk_Out and UClk_Out become U_Clk and M_Clk respectively in the I/O Card Page 7-24 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 144 Dedicated Input Line: Suggested use as a DLL locked signal. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-25 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 145: Pe To Pe Bus Standard Interface (Pe_Bus_Std_If)

    WILDSTAR™-II /VME PE Bus Connections Bus1 Bus0 Bus1 Bus0 WILDSTAR™-II /PCI PE Bus Connections Page 7-26 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 146 PE_Bus_Std_IF_Out_Type is record TxReset_Out : std_logic; Data_Out : PEData_Type; end record; WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-27 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 147 User interface to the Group B output pins. User_Out.Data_Out.BusC Input User interface to the Group C output pins. Page 7-28 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 148: Pe To Pe Bus Basic Interface (Pe_Bus_Basic_Io)

    Below are diagrams showing PE bus connections on the WILDSTAR™-II /VME and /PCI boards. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-29 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 149 PE_Bus_Basic_IO_Clock_Out_Type is record Dll_Reset_Out : std_logic; Dll_Locked_Out : std_logic; TxClk : std_logic; end record; Page 7-30 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 150 PE designs should use the User_In and User_Out ports to communicate with the P0 backplane. These records are defined below. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-31 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 151 Set the bit to 1 for an output or a 0 for input. Page 7-32 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 152 Data_Out : std_logic_vector ( 91 downto 0 ); end record; WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-33 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 153 User interface to the P2 backplane output pins. User_In.Data_In Output User interface to the P2 backplane input pins. Page 7-34 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 154: Wildstar™-Ii Pro Vhdl Model

    The board level simulation environment comes completely written, and the user need only set configuration options. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-35 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 155: Vhdl Interface Components

    Additionally, all Pad output signals are registered in the IOB on their appropriate clock edge. Page 7-36 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 156: Clock Interface (Clock_Interface)

    FPGA device, but it WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-37 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 157 One Pulse Per Second Clock. (Not connected to a DCM User_Out.p_clock Input Processor Clock DCM output record. Page 7-38 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 158: Lad Bus Interface (Lad_Interface)

    LAD bus of the WILDSTAR™-II PRO device, respectively. The “pads” signals of the WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-39 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 159 DMA data to the PE. The DMA-From-PE data transfer Page 7-40 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 160 Us er_In.Reg_Strobe Us er_In.W rite Us er_Out.D ata_Out Us er_Out.Strobe_O ut WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-41 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 161 Trans fer Count Us er_Out.D MA_Init Us er_Out.Bus Req Figure 7-11: DMA-To-PE Initialization Cycle Page 7-42 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 162 PE as the host has allocated with the WSII_DmaBind API call. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-43 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 163 Figure 8-10 shows a typical DMA-From-PE data cycle. Page 7-44 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 164: Sram Ddrii Interface (Sram_Ddrii_Portx_Interface)

    • Samsung® 18Mb (512Kx36-bit) DDRII CIO b2 (K7I323682B) • Samsung® 36Mb (1Mx36-bit) DDRII CIO b2 (K7I163682B) WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-45 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 165 The port signals of the SRAM interface are summarized below. Page 7-46 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 166: Sram Qdrii Interface (Sram_Qdrii_Portx_Interface)

    The WSII_GetInformation API call is used to determine memory type, burst length and population. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-47 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 167 The port signals of the SRAM interface are summarized below. Page 7-48 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 168 API. In conjunction with the API, it is used to prevent any IO card mismatches. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-49 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 169: Dram Interface (Dram_Interface)

    The dram_interface provides the user interface for DRAM. The DRAM interface is defined as: Page 7-50 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 170 FIFOs are not full. If ‘write_rdy’ is high, the interface WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-51 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 171: Led Interface (Led_Interface)

    The led_interface_out_type for WILDSTAR™-II PRO ACE for VME is defined Page 7-52 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 172: Pe To Pe Bus Interface (Peconn_Portx_Interface)

    Below are diagrams showing PE bus connections on the WILDSTAR™-II PRO boards (not available on ACE boards). WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-53 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 173 : std_logic_vector (49 downto 0); rx_clock : std_logic; end record; The peconn_interface_out_type is as follows: Page 7-54 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 174: Rocket Io Interface (Rio_Portx_Interface) (Not Available For Vme Systems)

    MHz (not available on ACE boards). The component declaration for the rio_port x _interface is: WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-55 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 175 : std_logic_vector(15 downto 0); txdata_port0 : std_logic_vector(15 downto 0); end record; Page 7-56 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 176 “user_in” signals should be used to read and write to the Rocket IO buses. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-57 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 177 Output Transmit is ready signal user_in.rxready Input Receive is ready signal Page 7-58 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 178: Wildstar™-Ii Vhdl Host Model

    WSII_DmaBind Binds a DMA buffer. WSII_DmaUnBind Unbinds a DMA buffer. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-59 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 179 This function causes the PCI Controller to set the specified clock to the frequency specified by dFreq . Page 7-60 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 180 WSII_RESET_DEASSERT,WSII_RESET_PULSE to pulse the reset line for one I Clock cycle. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-61 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 181 Returns the status of the interrupts using the format in the pIntMask description above. Page 7-62 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 182 WILDSTAR™-II board identifier (zero-based) variable ResetMask Mask of bits to clear WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-63 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 183 Set to the current interrupt status value when this procedure returns. dTimeoutMs This parameter is not used in simulation. Page 7-64 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 184 This function causes the PCI Controller to initiate one or more LAD bus read transactions to the PE device. See Also: WSII_WriteRegs_32 WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-65 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 185 This function causes the PCI Controller to initiate one or more LAD bus read transactions to the PE device. See Also: WSII_ReadRegs_32 Page 7-66 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 186 DMA API calls. See Also: WSII_DmaMemFree, WSII_DmaBind, WSII_DmaUnbind WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-67 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 187 If the buffer to be freed is also bound, it must be unbound (using WSII_DmaUnbind) before calling WSII_DmaMemFree. See Also: WSII_DmaMemAlloc, WSII_DmaBind, WSII_DmaUnbind Page 7-68 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 188 LAD bus cycles to DMA to this host DMA buffer. See Also: WSII_DmaMemFree, WSII_DmaBind, WSII_DmaUnbind WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-69 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 189 The handle to the DMA buffer to be unbound. See Also: WSII_DmaMemFree, WSII_DmaBind, WSII_DmaUnbind Page 7-70 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 190: Vhdl Host Model Simulation Environment

    “Empty” architecture in order to speed up the simulation time. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 7-71 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 191: I/O Card Model

    • WSII_PCI_Config: Several generics can be changed to configure the types of memories used for each of the PEs. Page 7-72 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 192: Wildstar™-Ii /Vme And /Pci Vhdl Design

    ModelSim® simulator by using VHDL to create a host model. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 8-1 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 193: Creating A System Architecture

    -s <mti_se/mti_pe> : To select the simulator. Use mti_se for ModelSim SE versions and mti_pe for ModelSim PE. Page 8-2 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 194 2. In the Tools menu (versions 5.6 and newer), select the Execute Macro… option. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 8-3 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 195: Synthesizing A Design

    PE0/PE1 project VHDL synthesis project file for Synplify® pe2\pe2.prj PE2 project VHDL synthesis project file for Page 8-4 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 196: Placing And Routing A Design

    Xilinx® Foundation Series tools include all of the necessary Alliance Series tools. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 8-5 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 197 UCF filename matches the base part of the EDIF filename (e.g., pe0_design.ucf matches pe0_design.edf). Page 8-6 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 198: Analyzing Design Performance

    If the desired timing was not met, you can choose to modify the timing constraints or to modify the Xilinx® Alliance Series makefile options. WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page 8-7 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 199 Page 8-8 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 200: Index

    Virtex™-II PRO, 1-7, 1-8, 2-1, 2-3 VME, 1-8 VME64X, 2-7, 4-1 KCLK, 1-5 WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page I Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 201 WILDSTAR™-II PRO/PCI, 2-16 5-1, 6-1, 6-7, 6-9, 6-11, 6-12, 6-13, 6-14, 6-15, 6-16, WSDP™, i Page II Doc. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 202: Mapping

    Positive Negative Differential Differential Number Pin Location Pin Location TxClk RxClk WILDSTAR ™-II Hardware Reference Manual Doc. No. 12921-0000 Rev. 6.0 Page A-1 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 203 Table A-2: WILDSTAR™-II P0 Backplane Standard Interface Pin Mapping in Single-Ended Mode Interface Pin Number Location TxClk Page A-2 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 204 Interface Pin Number Location RxClk WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page A-3 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 205 Interface Positive Negative Differential Differential Number Pin Location Pin Location C32* D25* Page A-4 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 206 Table A-4: WILDSTAR™-II P2 Backplane Standard Interface Pin Mapping in Single Ended Mode Interface Pin Number Location WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page A-5 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 207 Interface Pin Number Location Page A-6 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 208 Location Bit 75 is NOT CONNECTED on Rev. A boards WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page A-7 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 209 Page A-8 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 210 VME BUS RESERVED VME BUS RESERVED VME BUS RESERVED VME BUS RESERVED WILDSTAR ™-II Hardware Reference Manual Doc. No. 12921-0000 Rev. 6.0 Page B-1 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 211 AY18 XB1_IO02 AM38 AL19 XB1_IO01 AM39 AM19 AM36 AN19 XB1_IO12 AM37 AP19 Page B-2 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 212 AY37 AT25 AY38 AR25 XB2_IO19 AW26 AN25 XB2_IO20 AV36 AM25 WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page B-3 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 213 P2DATA08+ AH31 AU12 P2DATA08- AH32 AT12 P2DATA09+ AH37 AV12 P2DATA09- AH38 AW12 Page B-4 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 214 VME BUS RESERVED VME BUS RESERVED VME BUS RESERVED VME BUS RESERVED WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page B-5 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 215 P2DATA37+ AP38 AR20 P2DATA37- AP39 AP20 P2DATA38+ AP35 AU20 P2DATA38- AR36 AV20 Page B-6 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 216 AP37 AY20 VME BUS RESERVED VME BUS RESERVED P2DATA00+ P2DATA00- WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page B-7 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 217 P2DATA31 AJ36 AL15 P2DATA32 AK41 AU15 P2DATA33 AK42 AV15 P2DATA34 AK31 AY15 Page B-8 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 218 VME BUS RESERVED VME BUS RESERVED P2DATA46 AL31 AN17 P2DATA47 AL32 AM17 WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page B-9 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 219 P2DATA76 AP35 AU20 P2DATA77 AR36 AV20 P2DATA78 AP36 AW20 P2DATA80 AP37 AY20 Page B-10 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 220 AY11 P2DATA11 AG35 AY10 P2DATA12 AH41 AM12 P2DATA13 AH42 AN12 WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page B-11 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 221 PRO ACE PRO VME PE2 Signal Name P2DATA14 AJ40 AP12 P2DATA15 AH40 AR12 Page B-12 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 222 VME BUS RESERVED VME BUS RESERVED VME BUS RESERVED VME BUS RESERVED WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page B-13 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 223 AW17 P2DATA32- (SE ONLY) AN34 AW16 P2DATA33+ AN41 AU19 P2DATA33- AN42 AV19 Page B-14 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 224 VME BUS RESERVED VME BUS RESERVED P2DATA00+ AF33 AP10 P2DATA00- AF34 AR10 WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page B-15 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 225 P2DATA18 AH37 AV12 P2DATA19 AH38 AW12 P2DATA20 AH33 AL13 P2DATA21 AH34 AM13 Page B-16 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 226 RESERVED VME BUS RESERVED VME BUS RESERVED VME BUS RESERVED WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page B-17 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 227 P2DATA52 AL33 AM18 P2DATA53 AL34 AN18 P2DATA54 AM41 AR18 P2DATA55 AM42 AT18 Page B-18 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 228 AN24 P2DATA98 AW41 AM24 P2DATA99 AW42 AL24 P2DATA100 AU35 AY25 WILDSTAR ™ -II Hardware Reference Manual Doc No. 12921-0000 Rev. 6.0 Page B-19 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 229 P2DATA12 AH41 AM12 P2DATA13 AH42 AN12 P2DATA14 AJ40 AP12 P2DATA15 AH40 AR12 Page B-20 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 230 E8/D8 E9/D9 E11/D11 E12/D12 E13/D13 E14/D14 E15/D15 E16/D16 E17/D17 E18/D18 E19/D19 WILDSTAR ™-II Hardware Reference Manual Doc. No. 12921-0000 Rev. 6.0 Page C-1 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 231 A31/A30 A32/Z31 C01/D01 C03/C02 D05/C04 D05/C04 D06/C05 D07/C07 C09/D08 D10/C10 C12/D11 D13/C13 Page C-2 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 232 D15/D14 D18/D17 D21/D20 D28/D27 D30/D29 Z03/Z05 Z09/Z11 Z27/A28 Global Clock Pin WILDSTAR ™-II Hardware Reference Manual Doc. No. 12921-0000 Rev. 6.0 Page C-3 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 233 Page C-4 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 234: Appendix D: Wildstar™-Ii Vhdl Sram Example

    Figure D-1: Block Diagram for WILDSTAR™-II VME-Based Example WILDSTAR ™ II Hardware Reference Manual Doc. No. 12921-0000 Rev. 6.0 Page D-1 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 235: Main Functional Blocks

    It also passes error information and Done status back to the host. Page D-2 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 236: Software Description

    Set platform to SBS BIT3 ( default = disabled) Print this help WILDSTAR ™-II Reference Manual Doc. No. 12921-0000 Rev. 6.0 Page D-3 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 237: Wildstar™-Ii Hardware Reference Manual

    ... DONE Waiting for read to complete ... DONE Verify ... SUCCESS Page D-4 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 238: Sram Example For Wildstar™-Ii /Pci (Rev. D)

    SRAM read data at the ideal time. This block is controlled from the host. WILDSTAR ™-II Reference Manual Doc. No. 12921-0000 Rev. 6.0 Page D-5 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 239: Software Description

    ( default = 0 ) Set platform to VMIC ( default = disabled) Page D-6 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 240: Program Sample Output

    ... DONE Waiting for read to complete ... DONE Verify ... SUCCESS WILDSTAR ™-II Reference Manual Doc. No. 12921-0000 Rev. 6.0 Page D-7 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 241 Page D-8 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 242: Appendix E: Wildstar™-Ii Vhdl Sdram Example

    The results are displayed on the screen. Figure E-1: Block Diagram for WILDSTAR™-II /VME-Based Example WILDSTAR ™ II Hardware Reference Manual Doc. No. 12921-0000 Rev. 6.0 Page E-1 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 243: Main Functional Blocks

    11) Repeats steps 8, 9, and 10 until the user-settable amount of data is read. Page E-2 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 244: Command Line Parameters

    DRAM data = 0x4. Writing word #5 DRAM data = 0x5. WILDSTAR ™ -II Hardware Reference Manual Doc. No. 12921-0000 Rev. 6.0 Page E-3 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 245 Success ... there were no errors. WSII VME SDRAM Interface Example complete Page E-4 Doc. No. 12921-0000 Rev. 6.0 WILDSTAR™-II Hardware Reference Manual Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 246 PEs on WILDSTAR ™ II Hardware Reference Manual Doc. No. 12921-0000 Rev. 6.0 Page F-1 Annapolis Micro Systems, Inc. Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com...
  • Page 247 Jumpers WILDSTAR™ motherboards and I/O cards manufactured by Annapolis Micro Systems. You can also build customized cores, called Core Macros, using cores from the libraries. Design Viewer Diagram Editor Cores Message Window Tabs for Core Libraries Figure F-2: CoreFire™ Application Builder—Main Interface For more information about the CoreFire™...
  • Page 248 Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment SERVICE CENTER REPAIRS WE BUY USED EQUIPMENT • FAST SHIPPING AND DELIVERY Experienced engineers and technicians on staff Sell your excess, underutilized, and idle used equipment at our full-service, in-house repair center We also offer credit for buy-backs and trade-ins •...

This manual is also suitable for:

Wildstar-ii pro series

Table of Contents